create account

Logic Design - VHDL Behavioral, Dataflow and Structural Models by drifter1

View this thread on: hive.blogpeakd.comecency.com
· @drifter1 ·
$23.46
Logic Design - VHDL Behavioral, Dataflow and Structural Models
<html>
<p><img src="https://s26.postimg.org/4xh7ljxw9/intro.jpg" width="443" height="209"/></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Hello my friends! Today we will get into the differences between the Different <strong>Descriptions/Models</strong> that we can write a Circuit. I will first explain what Behavioral, Dataflow and Structural need to be implemented in VHDL Code and then we will get into some Example Circuits that we will write in all 3 ways for you to see the difference! So, let's get started!</p>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;We actually already talked about Behavioral and Dataflow before, but for sake of coverage I will write all 3 so that you can have all in one place! Also, this time you will understand the difference a little better inside of the Examples Section.</p>
<h1>Behavioral Description Model:</h1>
<p>&nbsp;&nbsp;&nbsp;In this Model we <strong>follow the Truth Table</strong> of a Circuit. So, we will have a <strong>if or case statement inside of a process</strong> or a <strong>when-else</strong>, <strong>with-select </strong>statement <strong>for each output</strong> inside of the architecture. We mostly prefer processes!&nbsp;</p>
<p>We can write a <strong>Behavioral Description of a NAND Gate</strong> like that:</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity nand2 is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;a, b: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;c: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end nand2;</code></pre>
<pre><code>architecture arch of nand2 is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process(a, b)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if a='1' and b='1' then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;c &lt;= '0';</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;else&nbsp;</code></pre>
<pre><code>	c &lt;= '1';</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;You can see that we don't need all different kinds of inputs, but we simply use only those that give us '1' or '0' and put the rest into the else part! That way we will have a smaller code, prefering the Max or Minterms depending on their count.</p>
<p><br></p>
<h1>Dataflow Description Model:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;A Dataflow Description is <strong>based on Boolean Functions</strong>/Expressions<strong> for each Output</strong>. So, we will simply <strong>assign the Outputs directly</strong> having the<strong> Inputs inside of Expressions</strong> that give us the Output values directly.</p>
<p>So, a<strong> AND-3 Gate</strong> for example looks like this <strong>in Dataflow</strong>:</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity and3_gate is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;a, b, c: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;d: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end and3_gate;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of and3_gate is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;d &lt;= a and b and c;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;You can see that we simply assign the Output to the corresponding Expression that contains the Inputs! Off course this Expression can get complicated (we will have such a Example in the Example Section) and we can also have more than one Outputs!</p>
<p><br></p>
<h1>Structural Description Model:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;The<strong> most descriptive Model</strong> is without any doubt the Structural Description. To use this Model we need to know the <strong>Structure/Gating of the Circuit</strong>. We will have to <strong>write a VHDL Description for all </strong>the Gates and <strong>Sub-Circuits</strong> that the <strong>Structural Model Circuit contains</strong>. All this Circuits will be used as <strong>Components</strong>.</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;In this Model we use a new statement called <strong>port map</strong>. Using this statement we connect the Sub-Circuits together having Signals/Cables in between them, the Inputs and the Outputs! Suppose we have the AND-3 Gate from before, that contains 3 Inputs and 1 Outputs and that we have it as a Component inside of our Circuit. Then the port map will need 4 Attributes that will be the signals assigned to the Inputs/Outputs, that can also be a Input/Output of the Circuit. Suppose the Inputs/Outputs have the same names in the Structural Model. So, this would look like this:</p>
<p><em><strong>label: and3_gate port map (a, b, c, d);</strong></em></p>
<p>You can see that we use a label, the Component Name and after that the Attributes (Input/Output Mapping).</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;So, to recap really quick, a Structural Model contains Components (Sub-Circuits) that are Port Mapped using In-Between Signals, Inputs and Outputs to construct the Circuit.</p>
<p><br></p>
<h1>Examples:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;To understand the differences a little better, let's write some Circuits in all 3 Models! I will use 2 Examples. The first one will contain simple Gating and 1 Output, and the second will contain even simpler Gating but more Outputs!</p>
<p><strong>Example 1:</strong></p>
<p>The <strong>Circuit </strong>looks like this:</p>
<p><img src="https://s26.postimg.org/pn86coehl/c_circuit.jpg" width="307" height="182"/></p>
<p>Testing out all Input Values I end up with this <strong>Truth Table</strong>:</p>
<p><img src="https://s26.postimg.org/56x5ug47t/c_truth_table.jpg" width="281" height="214"/></p>
<p>Then the <strong>Karnaugh Map</strong> and <strong>Function</strong> look like this:</p>
<p><img src="https://s26.postimg.org/ckcjteo9l/c_karnaugh.jpg" width="379" height="109"/></p>
<p>Using those 3 Things we can then write down the <strong>3 Models</strong> like that:</p>
<p><strong>Behavioral:</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity c_behavioral is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	A, B, C: in std_logic;</code></pre>
<pre><code>	Y: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end c_behavioral;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of c_behavioral is</code></pre>
<pre><code>begin</code></pre>
<pre><code>process(A, B, C)</code></pre>
<pre><code>begin</code></pre>
<pre><code>if (C='0') then</code></pre>
<pre><code>	Y &lt;= '0';</code></pre>
<pre><code>elsif (A='1' and B='1') then</code></pre>
<pre><code>	Y &lt;= '0';</code></pre>
<pre><code>else</code></pre>
<pre><code>	Y &lt;= '1';	</code></pre>
<pre><code>end if;</code></pre>
<pre><code>end process;</code></pre>
<pre><code>end arch;</code></pre>
<p>We simply follow the Truth Table here!</p>
<p><br></p>
<p><strong>Dataflow:</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity c_dataflow is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	A, B, C: in std_logic;</code></pre>
<pre><code>	Y: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end c_dataflow;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of c_dataflow is</code></pre>
<pre><code>signal not_a: std_logic;</code></pre>
<pre><code>signal not_b: std_logic;</code></pre>
<pre><code>begin</code></pre>
<pre><code>not_a &lt;= not A;</code></pre>
<pre><code>not_b &lt;= not B;</code></pre>
<pre><code>Y &lt;= (not_a and C) or (not_b and c);</code></pre>
<pre><code>end arch;</code></pre>
<p>I don't like to complex Expressions and so I create In-Between Signals!</p>
<p><br></p>
<p><strong>Structural:</strong></p>
<p>You have to write and compile all Components before compiling and simulating the main Circuit.&nbsp;</p>
<p>The Components can be written in any way and I used those:</p>
<p><strong>Not Gate</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity not_gate is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a: in std_logic;</code></pre>
<pre><code>	b: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end not_gate;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of not_gate is</code></pre>
<pre><code>begin</code></pre>
<pre><code>b &lt;= not a;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p><strong>Or Gate</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity or2_gate is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a, b: in std_logic;</code></pre>
<pre><code>	c: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end or2_gate;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of or2_gate is</code></pre>
<pre><code>begin</code></pre>
<pre><code>c &lt;= a or b;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p><strong>And Gate</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity and2_gate is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a, b: in std_logic;</code></pre>
<pre><code>	c: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end and2_gate;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of and2_gate is</code></pre>
<pre><code>begin</code></pre>
<pre><code>c &lt;= a and b;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>The <strong>main Circuit </strong>looks like this:</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity c_structural is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	A, B, C: in std_logic;</code></pre>
<pre><code>	Y: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end c_structural;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of c_structural is</code></pre>
<pre><code><br></code></pre>
<pre><code>component not_gate</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a: in std_logic;</code></pre>
<pre><code>	b: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end component;</code></pre>
<pre><code><br></code></pre>
<pre><code>component or2_gate</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a, b: in std_logic;</code></pre>
<pre><code>	c: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end component;</code></pre>
<pre><code><br></code></pre>
<pre><code>component and2_gate</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a, b: in std_logic;</code></pre>
<pre><code>	c: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end component;</code></pre>
<pre><code><br></code></pre>
<pre><code>signal and1_to_or1: std_logic;</code></pre>
<pre><code>signal not1_to_or1: std_logic;</code></pre>
<pre><code>signal or1_to_not2: std_logic;</code></pre>
<pre><code><br></code></pre>
<pre><code>begin</code></pre>
<pre><code><br></code></pre>
<pre><code>and1: and2_gate port map(A, B, and1_to_or1);</code></pre>
<pre><code>not1: not_gate port map(C, not1_to_or1);</code></pre>
<pre><code>or1: or2_gate port map(and1_to_or1, not1_to_or1, or1_to_not2);</code></pre>
<pre><code>not2: not_gate port map(or1_to_not2, Y);</code></pre>
<pre><code><br></code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;You can see that the Code becomes much larger, but we have a much bigger influence on the Connections between the Gates/Sub-Circuits and the whole Layout/Gating of our Circuit in general!</p>
<p>Simulating the Circuits in Modelsim we can see that they do the same exact thing!</p>
<p><img src="https://s26.postimg.org/83k6uqa1l/c_results.jpg" width="607" height="397"/></p>
<p><br></p>
<p><strong>Example 2:</strong></p>
<p>The <strong>Circuit </strong>looks like this and is a Encoder:</p>
<p><img src="https://s26.postimg.org/rk4wh955l/f_circuit.jpg" width="247" height="182"/></p>
<p>The <strong>Truth Table</strong> can be found out easily by testing out the 4 Input Combinations and looks like this:</p>
<p><img src="https://s26.postimg.org/rucf0ljrt/f_truth_table.jpg" width="361" height="147"/></p>
<p>We don't need K-Map but get the <strong>Functions </strong>directly more easily and they look like that:</p>
<p><img src="https://s26.postimg.org/l8zp0u3x5/f_functions.jpg" width="578" height="45"/></p>
<p>The <strong>3 Models</strong> can be written simply by using all those Things we have on top!</p>
<p><br></p>
<p><strong>Behavioral:</strong></p>
<p>This time we will have to use all possible input combinations and can't skip any if them</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity f_behavioral is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	A: in std_logic_vector(1 downto 0);</code></pre>
<pre><code>	D: out std_logic_vector(3 downto 0)</code></pre>
<pre><code>);</code></pre>
<pre><code>end f_behavioral;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of f_behavioral is</code></pre>
<pre><code>begin</code></pre>
<pre><code>process(A(1), A(0))</code></pre>
<pre><code>begin</code></pre>
<pre><code>if (A(1 downto 0)="00") then</code></pre>
<pre><code>	D(3 downto 0) &lt;= "0001";</code></pre>
<pre><code>elsif (A(1 downto 0)="01") then</code></pre>
<pre><code>	D(3 downto 0) &lt;= "0010";</code></pre>
<pre><code>elsif (A(1 downto 0)="10") then</code></pre>
<pre><code>	D(3 downto 0) &lt;= "0100";</code></pre>
<pre><code>else</code></pre>
<pre><code>	D(3 downto 0) &lt;= "1000";</code></pre>
<pre><code>end if;</code></pre>
<pre><code>end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p><strong>Dataflow:</strong></p>
<p>This representation is also not so difficult and I will again use In-Between Signals</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity f_dataflow is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	A: in std_logic_vector(1 downto 0);</code></pre>
<pre><code>	D: out std_logic_vector(3 downto 0)</code></pre>
<pre><code>);</code></pre>
<pre><code>end f_dataflow;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of f_dataflow is</code></pre>
<pre><code>signal not_A1: std_logic;</code></pre>
<pre><code>signal not_A0: std_logic;</code></pre>
<pre><code>begin</code></pre>
<pre><code>not_A1 &lt;= not A(1);</code></pre>
<pre><code>not_A0 &lt;= not A(0);</code></pre>
<pre><code>D(0) &lt;= A(1) nor A(0);</code></pre>
<pre><code>D(1) &lt;= not_A1 and A(0);</code></pre>
<pre><code>D(2) &lt;= A(1) and not_A0;</code></pre>
<pre><code>D(3) &lt;= A(1) and A(0);</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p><strong>Structural:</strong></p>
<p>Let's skip the Components, cause we already have them set up and just write the structural model!</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity f_structural is</code></pre>
<pre><code>port(</code></pre>
<pre><code>	A: in std_logic_vector(1 downto 0);</code></pre>
<pre><code>	D: out std_logic_vector(3 downto 0)</code></pre>
<pre><code>);</code></pre>
<pre><code>end f_structural;</code></pre>
<pre><code><br></code></pre>
<pre><code>architecture arch of f_structural is</code></pre>
<pre><code><br></code></pre>
<pre><code>component not_gate</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a: in std_logic;</code></pre>
<pre><code>	b: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end component;</code></pre>
<pre><code><br></code></pre>
<pre><code>component and2_gate</code></pre>
<pre><code>port(</code></pre>
<pre><code>	a, b: in std_logic;</code></pre>
<pre><code>	c: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end component;</code></pre>
<pre><code><br></code></pre>
<pre><code>signal not1_to_and1: std_logic;</code></pre>
<pre><code>signal not2_to_and1: std_logic;</code></pre>
<pre><code>signal not1_to_and3: std_logic;</code></pre>
<pre><code>signal not2_to_and2: std_logic;</code></pre>
<pre><code><br></code></pre>
<pre><code>begin</code></pre>
<pre><code><br></code></pre>
<pre><code>not1: not_gate port map(A(0), not1_to_and1);</code></pre>
<pre><code>not1_to_and3 &lt;= not1_to_and1;</code></pre>
<pre><code>not2: not_gate port map(A(1), not2_to_and1);</code></pre>
<pre><code>not2_to_and2 &lt;= not2_to_and1;</code></pre>
<pre><code>and1: and2_gate port map(not1_to_and1, not2_to_and1, D(0));</code></pre>
<pre><code>and2: and2_gate port map(not2_to_and2, A(0), D(1));</code></pre>
<pre><code>and3: and2_gate port map(A(1), not1_to_and3, D(2));</code></pre>
<pre><code>and4: and2_gate port map(A(1), A(0), D(3));</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;You can see that for this Circuit the Structural Representation was not so bad and also gives us more control so that we have less signals/cables inside of our Circuit!</p>
<p>The Results for all those Circuits are again the same and look like this in Modelsim:</p>
<p><img src="https://s26.postimg.org/fyzbna921/f_results.jpg" width="561" height="493"/></p>
<p>And this is actually it! Hope you enjoyed this post!</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Next time in VHDL we will get into Sequential Circuits that are much more interesting to simulate and work with in Modelsim!</p>
<p>Until next time...Bye!</p>
</html>
👍  , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , and 49 others
properties (23)
authordrifter1
permlinklogic-design-vhdl-behavioral-dataflow-and-structural-models
categoryvhdl
json_metadata{"tags":["vhdl","structural","behavioral","dataflow","model"],"image":["https://s26.postimg.org/4xh7ljxw9/intro.jpg","https://s26.postimg.org/pn86coehl/c_circuit.jpg","https://s26.postimg.org/56x5ug47t/c_truth_table.jpg","https://s26.postimg.org/ckcjteo9l/c_karnaugh.jpg","https://s26.postimg.org/83k6uqa1l/c_results.jpg","https://s26.postimg.org/rk4wh955l/f_circuit.jpg","https://s26.postimg.org/rucf0ljrt/f_truth_table.jpg","https://s26.postimg.org/l8zp0u3x5/f_functions.jpg","https://s26.postimg.org/fyzbna921/f_results.jpg"],"app":"steemit/0.1","format":"html"}
created2017-09-23 15:18:36
last_update2017-09-23 15:18:36
depth0
children0
last_payout2017-09-30 15:18:36
cashout_time1969-12-31 23:59:59
total_payout_value18.030 HBD
curator_payout_value5.432 HBD
pending_payout_value0.000 HBD
promoted0.000 HBD
body_length17,959
author_reputation98,202,866,830,354
root_title"Logic Design - VHDL Behavioral, Dataflow and Structural Models"
beneficiaries[]
max_accepted_payout1,000,000.000 HBD
percent_hbd10,000
post_id15,715,996
net_rshares7,290,073,288,258
author_curate_reward""
vote details (113)