transactions | 0. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:01:57 |
---|
operations | 0. | 0. | delegate_vesting_shares |
---|
1. | delegator | steemmonsters |
---|
delegatee | djfight |
---|
vesting_shares | 4,000.000000 VESTS |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f63c7ccecb0f08abb948e9cf7275aed6f034a0dad8477faedc32c34a49c637c7b1872dc963b05a89788c4b9de789f8d0c4d8d8ecf65928341e58af04973f6d4d3 |
---|
|
---|
transaction_id | 68914072e4abd50408abfcd1f5de9afd21927ea9 |
---|
block_num | 58,706,149 |
---|
transaction_num | 0 |
---|
|
---|
1. | ref_block_num | 51,379 |
---|
ref_block_prefix | 3,308,791,044 |
---|
expiration | 2021-10-29 10:09:36 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"57337a33c9d63c65ffb595b9e1c2895b9f5f44ad","app":"splinterlands/0.7.139","n":"llUkN8P95h"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f33033b7ce7c80a6cffe61090c5cbc1678ec141ac4e8134da360ff59f8b72360f62d34850307d2fa2d3923a561cdc218650e00594afe7d182fa08bf03c68f847f |
---|
|
---|
transaction_id | becc6bddd52f6bfe5bed2ad7d6acbf28c62f2fa2 |
---|
block_num | 58,706,149 |
---|
transaction_num | 1 |
---|
|
---|
2. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_update_price |
---|
json | {"ids":["e7590fa54219a0f6f1ca4aa185d2f56cd75bfb70-0"],"new_price":"17.889"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 207dcf6e115a63ee6f7a69e9319243c8363b82c90b2a78e2f93f29c2445e192e3f6c70fe4f439b4c0e40cc5875750869a6f1071bb8a4b1c070855b5b93c238e6a2 |
---|
|
---|
transaction_id | 0855afea7cf01ed676922d3adacdc5a298fd8ec4 |
---|
block_num | 58,706,149 |
---|
transaction_num | 2 |
---|
|
---|
3. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["b0320921b7780c79662f49ab8f57e6d93293838c-0"],"currency":"CREDITS","days":5,"app":"splinterlands/0.7.139","n":"Tn604baMlq"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f5431d3fc37c1935c4df9a7e37724a177339c79863917e4d3ed40583c79d1d46802aa2cd499b9e49b9dc3a5eba1925daf67150e9e1ff5e7aebf96da5e3d888ab9 |
---|
|
---|
transaction_id | 5244d4cdd082f9250a6d3cf58e55fb115b906ec5 |
---|
block_num | 58,706,149 |
---|
transaction_num | 3 |
---|
|
---|
4. | ref_block_num | 51,375 |
---|
ref_block_prefix | 177,499,466 |
---|
expiration | 2021-10-29 10:09:21 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"jet57","cards":["C3-336-8QDEV1XW74","C3-336-H39US30S3K"],"app":"splinterlands/0.7.139","n":"09lKN2x8tW"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20608c21e6f135b0d2976c9cbf9071b58352330aa4cf2bc5987f654922639af1833234f1fec7e1abdad547232a86b03160efdb732316c6fc781cdbfa9ba508f073 |
---|
|
---|
transaction_id | 54bb2ef6962d7323a329cc446f670ab1a43d59ee |
---|
block_num | 58,706,149 |
---|
transaction_num | 4 |
---|
|
---|
5. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:01:57 |
---|
operations | 0. | 0. | delegate_vesting_shares |
---|
1. | delegator | steemmonsters |
---|
delegatee | janex |
---|
vesting_shares | 4,000.000000 VESTS |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f476bdc6b9556f5e4e6ede22a8e4b79082b69ac894a733a154bfadd021908148335c82b5fc986ee364cde56b6e363b70f32f175c983c63fea40cf0e7f33733216 |
---|
|
---|
transaction_id | fa66f5ba810a5dcf552433599ba83547508e3cd4 |
---|
block_num | 58,706,149 |
---|
transaction_num | 5 |
---|
|
---|
6. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"ninhthinh","qty":106,"token":"DEC","type":"withdraw","memo":"ninhthinh","app":"splinterlands/0.7.139","n":"FuE9yW9lA5"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2058979f7b926098aaeb814e3cb19317d11e0bf25ffbebe0e1a414d4c7df4b2c690ac198139e8165c34963511fdf4316f3ebb3c4e8bc8b30b3534a2e8373e416b6 |
---|
|
---|
transaction_id | f831f33358978af2a473b344d5b7eaf1d541aa41 |
---|
block_num | 58,706,149 |
---|
transaction_num | 6 |
---|
|
---|
7. | ref_block_num | 51,379 |
---|
ref_block_prefix | 3,308,791,044 |
---|
expiration | 2021-10-29 10:09:36 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"8f3d5976f2e19c1108e12f870be718f8840eaa7c","app":"splinterlands/0.7.139","n":"HJUFzA2S2a"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f4264c96df197e54d8ec9b385c4dcb50c8fa05731587afe21b6908bb8ebba32e82841e5004629285a1662f2c810c2e0c3c23e9dae42695d9929810f4c0fff391d |
---|
|
---|
transaction_id | 27cda332198dd8275f7ab8683dbb777d054bd3eb |
---|
block_num | 58,706,149 |
---|
transaction_num | 7 |
---|
|
---|
8. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":147,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"rolxMNbCov"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 206d708a21cc8958f5efab69a220c3ea05b9238693c49c335d20fb807833bd595c5efbbc66284510e6ec13874e0e3739054be46cc780976a9281bc0b8f15897537 |
---|
|
---|
transaction_id | 3ca62bd0b04602209b4f4a2fbb85c4856e41ad95 |
---|
block_num | 58,706,149 |
---|
transaction_num | 8 |
---|
|
---|
9. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:01:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"sl-mobile/0.7.139","sm_id":"RyHNPN3P5h","proxy_acct":"disciple_336947"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f3c0a7d106feff7fb7dc9334e128e75474c082d659071946b71351def0dacd4c27254dc5924e123fe989ce074dfa6eb668cd39d8cdfd1c9cf67693426abe6104e |
---|
|
---|
transaction_id | ad2c4dd12647c5de838eff3a5c20bfecd5b182f0 |
---|
block_num | 58,706,149 |
---|
transaction_num | 9 |
---|
|
---|
10. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":115,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"lkDgtPahyz"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 202f8973e17a8a5600bd6be88db717aba30ba22f06634a1f0b11ee1bccfb9ee5c7642bf5aa8de7c8c9a5ad41433cb8ddb55ab57e572ba2405c4268acbb78292e19 |
---|
|
---|
transaction_id | c6d09b13a7eea3c8ffd8524a2041901fc7d796f8 |
---|
block_num | 58,706,149 |
---|
transaction_num | 10 |
---|
|
---|
11. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":115,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"XZgMKkx8bk"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 200f7cda77a21c4889074fe7e3d38fe985b4d5175ea0172b18014ae7d2184be0ba478eeda7bea5dbe16ebdf28bd0ab38dd406f4addd1f4a1746312276418d67aef |
---|
|
---|
transaction_id | 3e9bfa2caec5daaa5cd503f152e434027d8a971c |
---|
block_num | 58,706,149 |
---|
transaction_num | 11 |
---|
|
---|
12. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":21,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"fWo9EAVEuX"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2072fa6c6eb1b60a5f860c019d0d8a688b78e01f03e2540a5ca5102569cf03caed73306ce4082b5e0aa63583cf5ca13ca6fbfacc1411b913a56a587d19536cb624 |
---|
|
---|
transaction_id | 949e9eb41e563d3716db03ba03f67114f203f4d3 |
---|
block_num | 58,706,149 |
---|
transaction_num | 12 |
---|
|
---|
13. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "steemmonsters/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 201ab5c9070fe9f7dcd0e100bae54cb3f3b4f6a5cdbc61565d0e959004ac74ece557fb9791a321d2a75bdc8ea8cdff2a2d3cedabe700135fdeb795478dfb0f58e1 |
---|
|
---|
transaction_id | 841c8846e41a5ba8658579ec2b7f59ab28667423 |
---|
block_num | 58,706,149 |
---|
transaction_num | 13 |
---|
|
---|
14. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"gladyschadwick8","cards":["C3-348-22XDGCY6SW"],"app":"splinterlands/0.7.139","n":"zlEw7Blxc0"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20742e5bfc3839bdb86beb4879c844b409259fedacd3364439abdb27f50e723f384eb388521179ab4de0c79e3580736618746653b5da4b2a097409dd94af6edc03 |
---|
|
---|
transaction_id | 68bddb2f8fccd122f6af33b2aaff1be2d1ea0eb2 |
---|
block_num | 58,706,149 |
---|
transaction_num | 14 |
---|
|
---|
15. | ref_block_num | 51,406 |
---|
ref_block_prefix | 1,144,340,475 |
---|
expiration | 2021-10-29 10:10:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":248,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"NdDANb5GI7"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f7049f50e135a54afa548fe00f291c6750a610c58c05be78dba373e9bfd899fe649cda876744c3c085644c41d7f0f9342330208e9203f4ed48c2be0fb344ecc1b |
---|
|
---|
transaction_id | 664980dac2ee5034663cb6005d33d3ca968b4b1b |
---|
block_num | 58,706,149 |
---|
transaction_num | 15 |
---|
|
---|
16. | ref_block_num | 51,387 |
---|
ref_block_prefix | 4,111,765,274 |
---|
expiration | 2021-10-29 10:09:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"notom872","cards":["G3-348-NBXR2O15RK"],"app":"splinterlands/0.7.139","n":"uUwzOr6q3R"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 206b6a7f4c0cbdd0f98f18218e287cfa98794a60a2a0aa8e46fe3089da52744f5b4b17bda94e5bfa885df0736d3af818943ad7d15cb23b1e93b313ea88595aa5f8 |
---|
|
---|
transaction_id | 8bc15dd0ca6c793a8c424ce47ebe2a474b5f0c72 |
---|
block_num | 58,706,149 |
---|
transaction_num | 16 |
---|
|
---|
17. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"1zwRAHV1kp"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f272fd3529b0f2b9e1d87a247d901b9a8ab1d91ac2f29640d12953a38834235255a69f8dcb967642d43881054d64aa78468363c690c3cdb578e364db295e0ba96 |
---|
|
---|
transaction_id | f82284d48811cad3924cd470b28e64803f5c8340 |
---|
block_num | 58,706,149 |
---|
transaction_num | 17 |
---|
|
---|
18. | ref_block_num | 51,387 |
---|
ref_block_prefix | 4,111,765,274 |
---|
expiration | 2021-10-29 10:09:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"rafelhenry61","cards":["C3-341-3INVLH84U8"],"app":"splinterlands/0.7.139","n":"fdR8HRWeF5"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2e1ad8ddae963a77365668a9e0fba1d2c9f3223372debcdfc9272d400ca04f8f53975004ebf6e2549db35f7d49b819af170594fbf24f909f9734476b2f58acac |
---|
|
---|
transaction_id | f8eb4c02705bddaeb9c587232384c1bb55b20af0 |
---|
block_num | 58,706,149 |
---|
transaction_num | 18 |
---|
|
---|
19. | ref_block_num | 51,406 |
---|
ref_block_prefix | 1,144,340,475 |
---|
expiration | 2021-10-29 10:10:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"pnSPSxJOOX"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20334e27e43af6f5f8af4cf3d10ad980ea526aee1fc4d98f8d7484c0b6e742dbaa7082cc34e5848a1e13855c521efb06583d91f457a4776f2285000e6a2265055b |
---|
|
---|
transaction_id | f28df0251f946fcf56ac288503ed1d800668c5ff |
---|
block_num | 58,706,149 |
---|
transaction_num | 19 |
---|
|
---|
20. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:01:59 |
---|
operations | 0. | 0. | vote |
---|
1. | voter | aleister |
---|
author | travelgirl |
---|
permlink | new-lucky-ducky-originals-nft-added-to-my-collection |
---|
weight | 700 |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f336bc874ff06ff5b4fc041eb1792d44fae55c3c17641c379859dd5a775745e9906c0d90dd23cbcced4005ebfe7ef45cea4ffeb15f13b348d9f5c4c3c1d4033b4 |
---|
|
---|
transaction_id | bba03124128559386e84ab6a13a5c83092f13148 |
---|
block_num | 58,706,149 |
---|
transaction_num | 20 |
---|
|
---|
21. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:01:59 |
---|
operations | 0. | 0. | vote |
---|
1. | voter | dandays |
---|
author | yunayuna |
---|
permlink | a-halloween-ghostie-clay-commission-from-my-friend-or-polymer-clay-diy |
---|
weight | 380 |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f7a29de1b9aab288fb17b96eaba03ea29ded73b18eb172e33dce33186f1cb696c6bd22cc3a23f42c2123da52440d69911847f908ff2964409ad8390237fb75de8 |
---|
|
---|
transaction_id | 91fdafdd016517cd191c46b65db7ba660fa4cd85 |
---|
block_num | 58,706,149 |
---|
transaction_num | 21 |
---|
|
---|
22. | ref_block_num | 51,374 |
---|
ref_block_prefix | 26,669,394 |
---|
expiration | 2021-10-29 10:09:09 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_enter_tournament |
---|
json | {"tournament_id":"d7bd17cb188278fed191e2f3ce5b2a3e550898cb","signed_pw":null,"app":"splinterlands/0.7.139","n":"u0ZG6gbqMT"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f769e44c85538b156e3a51ba86a1390173e22149d06531e4e5ab189c2fafa3f0736c3f2ec6f9714f94b793f4eca2d79aa8296dba4b331e1b829f63717203811ce |
---|
|
---|
transaction_id | ff475674518002c5ee92c2f0e0fd56b50a473380 |
---|
block_num | 58,706,149 |
---|
transaction_num | 22 |
---|
|
---|
23. | ref_block_num | 51,398 |
---|
ref_block_prefix | 1,299,561,127 |
---|
expiration | 2021-10-29 10:10:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"46e0b2762e39478bae8d16c329ce59e5ca44cdbc","app":"splinterlands/0.7.139","n":"OItXE8vbtJ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f260c318b9196861f6599ba84f17fc4c751af4ebf2ccce94009cf54d50b3dbeaa584823e85ec00bd9198b01be072f071a20dfbadb0e402a698c184984272dac7b |
---|
|
---|
transaction_id | 7d5b85f959644af5368e08e979b25348d047146d |
---|
block_num | 58,706,149 |
---|
transaction_num | 23 |
---|
|
---|
24. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:01:59 |
---|
operations | 0. | 0. | vote |
---|
1. | voter | robmojo |
---|
author | ary21 |
---|
permlink | fantastico-cuadro-de-flores-3d |
---|
weight | 216 |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f143e5730a718891afdc4dfc0bef011e369672d4e71cfb5cad55df4a222f33cd46d8d83d3997a00489873b5499e024b8c8b40b4612b07d565e585bc2a86e968d6 |
---|
|
---|
transaction_id | 3d13cfeab83109b75b702e602f21dcf29f0d29cf |
---|
block_num | 58,706,149 |
---|
transaction_num | 24 |
---|
|
---|
25. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:01:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | ssc-mainnet-hive |
---|
json | "[{"contractName":"tokens","contractAction":"issue","contractPayload":{"symbol":"BPC","to":"bilpcoin","quantity":"0.168840"}},{"contractName":"tokens","contractAction":"stake","contractPayload":{"symbol":"BPC","to":"asia-pl","quantity":"0.084420"}},{"contractName":"tokens","contractAction":"transfer","contractPayload":{"symbol":"BPC","to":"asia-pl","quantity":"0.084420","memo":"Here are your claimed tokens! (0.084420 more BPC were added to BPC POWER)"}}]" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20776a060ec69241f2d10be484575b8aba96cc3a107b4a6ddde58ee1c80d9f28f5135c8aa3ca7f1858380ff286bdd9f68e7a605430cf53eb0598038c41b804f9df |
---|
|
---|
transaction_id | e4ca02011309f0cdbc0caeecc4d17aae247a4490 |
---|
block_num | 58,706,149 |
---|
transaction_num | 25 |
---|
|
---|
26. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:01:59 |
---|
operations | 0. | 0. | vote |
---|
1. | voter | dallas27 |
---|
author | travelgirl |
---|
permlink | new-lucky-ducky-originals-nft-added-to-my-collection |
---|
weight | 5,000 |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f3266e41a6d5d97d6159e9d6fa47ba144c653a3180605e855b3813bd5d372d6915516192d45fddf176934e584e43a787ca24a210289dfb1ea02fe237d32479695 |
---|
|
---|
transaction_id | 72903c2210151e200030fc5d85014a98832b560a |
---|
block_num | 58,706,149 |
---|
transaction_num | 26 |
---|
|
---|
27. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:01:59 |
---|
operations | 0. | 0. | vote |
---|
1. | voter | shebe |
---|
author | yunayuna |
---|
permlink | a-halloween-ghostie-clay-commission-from-my-friend-or-polymer-clay-diy |
---|
weight | 600 |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f12fc4eede0f2d5d6a9af879df516fc55d67fcaf3bcdc57e0b770aed53b86a0303b35da32ae88a8cd2620f042f989afc626b058379206364092fad7029c620fea |
---|
|
---|
transaction_id | a98a1982daaca3c55e236fc87ebfc9104c14874b |
---|
block_num | 58,706,149 |
---|
transaction_num | 27 |
---|
|
---|
28. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:59 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"nhan682","cards":["C3-331-117GH046TS","C3-333-NDHVR4J29S"]} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2005c3dc7f185d0d5f308732fa3e156e9ae1c3b6bf1a935f2cf65579a4fd1263d120f113c3e98a5e707d6de820bd3b50180f4f68abb6b9906183f023a3d6476860 |
---|
|
---|
transaction_id | 41a846e3d1a13c4055ca8b382039c0478c17e6f9 |
---|
block_num | 58,706,149 |
---|
transaction_num | 28 |
---|
|
---|
29. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:01:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["aa4bb9179b356ed3d6ceaa6263feb873770ba83f-24"],"days":2,"currency":"DEC","limit_price":0.21,"player":"lednal","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6a37e159d42d5ad9820a68c92268528291312908811bac777391ddb6de4c284d76751d8d9b2cdfb5241e0f260bb4ce88bdf4eb16bb24c2b0c9a775f63942bda4 |
---|
|
---|
transaction_id | 02195c5fc45c09366934c5dc01a9948a7acc4b3d |
---|
block_num | 58,706,149 |
---|
transaction_num | 29 |
---|
|
---|
30. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["7d66dd8a0dbb77282856d1ca52f4c509faba8a8b-0"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"qw84MQ7AU3"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6170c2d2b4690fa3ea4a6eeeb0dfd1948691cbe8ccdba40b7d5ee16ceaaf203118649985f61035386d25a6f3513da50794767218ce95bf5c90d547cf8190b939 |
---|
|
---|
transaction_id | 56ef1593aa1dc8b036ae2abd12023f5a0f25fc66 |
---|
block_num | 58,706,149 |
---|
transaction_num | 30 |
---|
|
---|
31. | ref_block_num | 51,398 |
---|
ref_block_prefix | 1,299,561,127 |
---|
expiration | 2021-10-29 10:10:33 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_refresh_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.139","n":"wuznriOBku"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f50c8e8c5e49ed4fd2e47a39f66ae912584fe207f005578412ba8fe377c2fad311f25ea6877cc57911d32befc68e414e698d5115d5f26757e16fbd39fa3a0f56e |
---|
|
---|
transaction_id | 0326daf8fb3a1f2b876a578fbef201458cf139e3 |
---|
block_num | 58,706,149 |
---|
transaction_num | 31 |
---|
|
---|
32. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"splinterlands/0.7.149","n":"nNWZ3ypsT3"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 207f48e0cbf6a1d65a6e301adc70502401cd54cfcd071167c1c2ac83373489281a188a1fe346006bbfbba7f669b805f8d8c1768c418ba8b38a33e8809f4fb1caa8 |
---|
|
---|
transaction_id | 178fca5800ec4285352bb0a27c57831374b38422 |
---|
block_num | 58,706,149 |
---|
transaction_num | 32 |
---|
|
---|
33. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | pm_update_bid |
---|
json | {"bid_trx_id":"507bb2c1d9a5b47613f1232c5a9843f55e5d1332","card_detail_id":338,"edition":3,"gold":false,"bcx":1,"quantity":2,"bid_price":6.651,"currency":"USD","market_account":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 200db5186fa7c3a45f93bb46689a445b34569210bb5cc5fc04edc9dd50db3f5db06ece0281ce7b06afa9b01af04b07f82a4b0b1211e0ce9804bf27db33d197c245 |
---|
|
---|
transaction_id | cb922bd86d4d27cd04622dac76aacd495a1c95dd |
---|
block_num | 58,706,149 |
---|
transaction_num | 33 |
---|
|
---|
34. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:03:02 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"man100x18","cards":["C3-331-I6YVYW8Q1C","C3-331-DTLKHZ2MZ4","C3-331-820NRR4HWG","C3-332-QYH4ET8SC0","C3-332-1NGZTZ7M1S","C3-333-8FP3M7A4VK"]} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f684793630044f9fa6a371f7eda36bdad708c2d42b76020f75c6ed9b8438d9339024e0bc2a0a5624c1ecdced3fdc08a6b4798e4c3b2b3e2d3a971dee75adb0f5a |
---|
|
---|
transaction_id | 3eeffa9d1853ca4ee8d1f9393ca39beb91d6fb92 |
---|
block_num | 58,706,149 |
---|
transaction_num | 34 |
---|
|
---|
35. | ref_block_num | 51,424 |
---|
ref_block_prefix | 1,288,341,467 |
---|
expiration | 2021-10-29 10:02:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_submit_team |
---|
json | "{"summoner": "starter-38-bDMzp", "monsters": ["starter-64-9C4uo", "starter-66-zhUyb", "starter-39-0ETZA", "starter-149-ZGhCn"], "trx_id": "29977142fd9c41c56fa5f47cf21a2f85567997a8", "app": "steemmonsters/0.7.139", "secret": "pc3YXRRM1T", "team_hash": "827285228dd406aed3c993f59c2e6162"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f0a4efc36ff333f604ce4455d14622a98a36d5a6c198192ea3cf4f7d9c85a2c5c36ade7336d307418dd7fa5019f767052d876735571a6e9813309ccf996a3be63 |
---|
|
---|
transaction_id | 2dd0fb16425a45ce0534c2bb6beb9d379d46919d |
---|
block_num | 58,706,149 |
---|
transaction_num | 35 |
---|
|
---|
36. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_submit_team |
---|
json | "{"summoner": "starter-16-j2Lpk", "monsters": ["starter-174-v1tSt", "starter-18-BJqny", "starter-17-BsmWT", "starter-172-drk2v", "starter-63-9ruDe", "starter-194-4Flz8"], "trx_id": "e7b9e47f320f0c4b0e1451b3e7ccde575a26560f", "app": "splinterlands/0.7.139", "secret": "V77idKZjgg", "team_hash": "1cb7dd0591eeac6f771b4bba64e40fa0"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2009205b8bae620de84608978cc4b3967e47a68c762cef2027ec1d086a785020182990eebdb53745aeb1d6bd73c1761c6efd3373a8d506a7e26747432704535474 |
---|
|
---|
transaction_id | eee70628d855d6c304ad236aaab6139bdfed1ba1 |
---|
block_num | 58,706,149 |
---|
transaction_num | 36 |
---|
|
---|
37. | ref_block_num | 51,398 |
---|
ref_block_prefix | 1,299,561,127 |
---|
expiration | 2021-10-29 10:10:33 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"abcd122123","cards":["G3-343-XVP98WK5OG"],"app":"splinterlands/0.7.139","n":"Za1Z6xft4B"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 206ea607233444316bd9651c69e324b78d4013522080aef69ce06846e0a7ea511310a9c31ca7d47515e96f76fded5b630b4e915dbf5b79027651cb2ce034575810 |
---|
|
---|
transaction_id | 0e3b7dbca71d2951ff456e504a97d11821c5d8b3 |
---|
block_num | 58,706,149 |
---|
transaction_num | 37 |
---|
|
---|
38. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"LsgHgLoLaB"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f24ec16928554f4ac4236f024b2c3203b8bcf8c9fbd3c288ca82e75546d319c04034109307f2b83d82edb23e905dfd4b4c098a7835e027d2e60ac96371eb15f38 |
---|
|
---|
transaction_id | 1646740e3aa8eac5131ffd9a55b0a7f9aa78bba0 |
---|
block_num | 58,706,149 |
---|
transaction_num | 38 |
---|
|
---|
39. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:01:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | ssc-mainnet-hive |
---|
json | {"contractName":"market","contractAction":"sell","contractPayload":{"symbol":"SPT","quantity":"3349.427181","price":"0.01074995"}} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2037a580271acb23d3e6dde647a56c128e658b90ab71a8c3d887058ba5f461cb203363ce12b208eda80b6a03e87960b6363f666c82ab94f7a2b710c09df0daff72 |
---|
|
---|
transaction_id | 1405a81c761fad43bf6e6857bc01c547ea72c164 |
---|
block_num | 58,706,149 |
---|
transaction_num | 39 |
---|
|
---|
40. | ref_block_num | 51,398 |
---|
ref_block_prefix | 1,299,561,127 |
---|
expiration | 2021-10-29 10:10:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"rickyapalest","cards":["C3-342-V2653F03OW"],"app":"splinterlands/0.7.139","n":"PzxSxwQ6Du"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2919b61a47e1afb40f50eab31c1408bdaf6cc28a9b36928238cf038ddef6d38a6d5fc0889c9489a823b6b449af8afc6f7b92653c865659c505f59b7f6c4a7f86 |
---|
|
---|
transaction_id | 6be357e5ca104dd5fe20f768d2ad78a61ddb4da7 |
---|
block_num | 58,706,149 |
---|
transaction_num | 40 |
---|
|
---|
41. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"O9lW3yHhCh"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f19623058b72b1a5e680bc4817437195a6a556775ee1bfc4fd6fe0d1dc2a52c62188e5b034e4d740d2600e0bd92d79e82219fd232a69973e23d19e0c1eefe2905 |
---|
|
---|
transaction_id | ee3e0c5af17b20d744b5b9655fe1477a63c6682b |
---|
block_num | 58,706,149 |
---|
transaction_num | 41 |
---|
|
---|
42. | ref_block_num | 51,394 |
---|
ref_block_prefix | 2,083,827,795 |
---|
expiration | 2021-10-29 10:10:21 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_refresh_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.139","n":"pIeY8qU2aN"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2a95f4bb155de0c09ff8a455d77ce1fdbfeff9eeee49563c72b819ac60b1ff8762355122cf51647854f3d395c0cef4d55e41d3af56788de72ddcda66dc0c5ca5 |
---|
|
---|
transaction_id | a5f881915f93f84843a2457ba2c0a4a45150cf54 |
---|
block_num | 58,706,149 |
---|
transaction_num | 42 |
---|
|
---|
43. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["ed039eb915c75c5fb3e37805e8bc5fdfc3d49dcd-22"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"qcrN88On5d"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2e0be658a985b9aa3ff06ff86c291c3b84788fc8cc8dfcb6651ec837e0fa33080d7fa8227137a4c43a156b58d2050a2910523e11ab66fdfe22b7f90dee35979f |
---|
|
---|
transaction_id | b6a933962c45296da5fb10f8c5ff4327bd1784cb |
---|
block_num | 58,706,149 |
---|
transaction_num | 43 |
---|
|
---|
44. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"jojoli","cards":["C3-349-4B8UWVAKZK"],"app":"splinterlands/0.7.139","n":"GHLCVTDl5l"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20120b6ca754234f437550db60f642303daf2867b50248fa73040cef6863237b6d7bdd9ac95d0a16cce1c44d65e74130ec8dd5849550d007324e96b7a09308d789 |
---|
|
---|
transaction_id | 96d6208a8137ce44413efb99b4485fea7b2911fd |
---|
block_num | 58,706,149 |
---|
transaction_num | 44 |
---|
|
---|
45. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"4KOaQIoLv0"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f37b5c5b1942c3dc578f9134457b98b39389d484b8134de57915008bf83b54b981870dc79be1e5531b37aeaf7d46a5053a77e3bc256657719bc71d26739fa825b |
---|
|
---|
transaction_id | 848855c167ce11d552259194b8be20e83324753c |
---|
block_num | 58,706,149 |
---|
transaction_num | 45 |
---|
|
---|
46. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:01:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"steemmonsters/0.7.0"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f37bdc24d6f20bff18a61142cf9d136c0438b11b82c92584020f1f666541366671fe0821816562796d621d6a7269da58c229dddcb337669688172af8ee618215b |
---|
|
---|
transaction_id | 263549fa051e55a589938ac7f835c6f6f240f76d |
---|
block_num | 58,706,149 |
---|
transaction_num | 46 |
---|
|
---|
47. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:01:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["aa4bb9179b356ed3d6ceaa6263feb873770ba83f-25"],"days":2,"currency":"DEC","limit_price":0.21,"player":"lounap1","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2022c505c01c56dce6e6e2bef886fce72a9f2623cdef62ae3e91bf1346b2e3afa03ad540dd985cd601f167d21574eb738a06d07aaf04f8a5a1a150063c15116976 |
---|
|
---|
transaction_id | 152afa31c2ad4d5a6bc4bb6bba8a25315ce21c48 |
---|
block_num | 58,706,149 |
---|
transaction_num | 47 |
---|
|
---|
48. | ref_block_num | 51,394 |
---|
ref_block_prefix | 2,083,827,795 |
---|
expiration | 2021-10-29 10:10:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"522128a0654c9a76ef07f87d00af95275ca179ae","app":"splinterlands/0.7.139","n":"kMiHpK25Ec"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 206be5fee990adee8582d2fd2133fd6dbb6c49dd3480663aedeb691fd09c44622e65f58a13417adb2f58e3244ae3d8761a6bf2535007be6fdf026fd4aaecc9e7e5 |
---|
|
---|
transaction_id | e857cbed07d6e6cebe0593f89934291a3e7f0618 |
---|
block_num | 58,706,149 |
---|
transaction_num | 48 |
---|
|
---|
49. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["3310a5ea2ba731e3eb9018255487a440058fc536-0"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"W4iByQoFbs"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20653d863bec099ff86a00d6ccdce7609b6e19818e8f5d78bc0d2ed2232a0ee07e7c9257bbd9d60efc36d3378e6d542e4a03ced2b77cfde596bbad35df86a0227a |
---|
|
---|
transaction_id | 84f6efc0fe6a60601cc914381afb31f00acacced |
---|
block_num | 58,706,149 |
---|
transaction_num | 49 |
---|
|
---|
50. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:01:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_token_award |
---|
json | {"from":"$SPS_AIRDROP","to":"niqueanor","token":"SPS","qty":0.24999999999999997,"platform":"hive","address":"niqueanor","ts":1635501659606,"sig":"SIG_K1_KXn5moVRcNWpt476Q68JWLRv3ZHEkZDAU14tnD75kU8XvNtNLmP8FMviD28idjZSLUpdFkK1DpsBqxoWmXRRpe2vxnQBAF"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2041e1e50d26d739ae4507a69b4f2370ae76b2e75fa4867dc953f5ac93524f896d6df8d37a0ad9b1027e1b945828d117f4aac82043e9317e0a080ac62c4bf523e1 |
---|
|
---|
transaction_id | d13154b872858dba711f973ee4b7b96cba5963de |
---|
block_num | 58,706,149 |
---|
transaction_num | 50 |
---|
|
---|
51. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["e8ee13b382c86f9e50ac26094a1b6865481a0cbe-7"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"qu0Ux0i4QW"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f3d19ef76189b53d3bfc7cf3b5abdfacb24a6c1f7aefa42fdcbfda582e4c72648757cdc7ecbe2777393f8349e6b75e4ec9dd0ac2769342bb3a59ecba359d92d8a |
---|
|
---|
transaction_id | 0bf815f23ebff7f544f810cb7694908d4af41710 |
---|
block_num | 58,706,149 |
---|
transaction_num | 51 |
---|
|
---|
52. | ref_block_num | 51,425 |
---|
ref_block_prefix | 135,491,346 |
---|
expiration | 2021-10-29 10:01:23 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["dd89050e571c553d5030df6ae490ca711cd7ec83-0"],"currency":"DEC","days":2,"app":"splinterlands/0.7.139","n":"9rwkUNfbfh"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f1e2726bca1cc9470d7d128d3275980115c6dae45af3b4d445a9f4af9ed6352ae2377d99684b0fa56e62878d0582f3c6f748b3b6a0c545c1f843b201489ab8459 |
---|
|
---|
transaction_id | 088d7e118595e607b3a8dfecef7de4ce26b3446c |
---|
block_num | 58,706,149 |
---|
transaction_num | 52 |
---|
|
---|
53. | ref_block_num | 51,375 |
---|
ref_block_prefix | 177,499,466 |
---|
expiration | 2021-10-29 10:09:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"ginamccreary1","cards":["C3-347-G0ZYAJ5SXS"],"app":"splinterlands/0.7.139","n":"FAUlGPMsJM"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6a58f0bbe9ead07a60d6daa253ab07d416e1d8c88e1deeca2f4f7d8ce5c1e06559fde25c2591344cb531f388f4a8c8c53ffef68a23f5aa9361deb7f21c65d528 |
---|
|
---|
transaction_id | fb9a83afed11e08189b1857e32e2075ca4ebbd8c |
---|
block_num | 58,706,149 |
---|
transaction_num | 53 |
---|
|
---|
54. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"ka425","cards":["C3-331-4YUTDSQG28","C3-331-7IPSNV1PQO","C3-331-CXSH3DR6BK","C3-331-DEOYGYUTY8","C3-331-O7KVCDLHXC","C3-331-PE512CC4G0","C3-331-S5V3DC3UR4"],"app":"splinterlands/0.7.139","n":"CgbqYs7EVf"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f4ca49258a4d32fdfa63b490480903b99c2a4c4fbbdaa602bcd7675fa798efeb8149962cbc056ed2500795e5243909774fa707f75222183ed9f04608717777ece |
---|
|
---|
transaction_id | 4fdbbad0591dfdac202758e38635d644bb59ab3c |
---|
block_num | 58,706,149 |
---|
transaction_num | 54 |
---|
|
---|
55. | ref_block_num | 51,379 |
---|
ref_block_prefix | 3,308,791,044 |
---|
expiration | 2021-10-29 10:09:33 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"2254f43c656ab0711399d8ca63aa4f28a2ab51ec","app":"splinterlands/0.7.139","n":"M1H6w4fzUP"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2008d1ca6e21b7db43447c336a37c40297d5255408973949a69567c8a341791e153d98fbc7faeaf8a4d8a59c3b3a780290d6096aeac0777affa38e73ec864588be |
---|
|
---|
transaction_id | 909a145422091bce11520186030b820d2571792a |
---|
block_num | 58,706,149 |
---|
transaction_num | 55 |
---|
|
---|
56. | ref_block_num | 51,424 |
---|
ref_block_prefix | 1,288,341,467 |
---|
expiration | 2021-10-29 10:02:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "steemmonsters/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6d2784c3e0702d467162cad06dc003ec8c190e4284519fb847d99a96783069766d67def4b40e169ca409bfd363ae0ac160770bb6ac92d0bf4b725d416b3a2272 |
---|
|
---|
transaction_id | a7480f94c64f1dfd070ed2f7589bccff9476d45f |
---|
block_num | 58,706,149 |
---|
transaction_num | 56 |
---|
|
---|
57. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"folyweng","cards":["C3-347-YGBPC207HS"],"app":"splinterlands/0.7.139","n":"zOXHSWgKpH"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f68fd8f720f102ca619157d78baf5096b4e06dee197c04f1492c259164e685c04659816ad81bfd43fd95be810ad571d522ab3fa8e7533432441a040a99067a9c1 |
---|
|
---|
transaction_id | 61e7848da5a862e7fc7006bb23fd263c0754299a |
---|
block_num | 58,706,149 |
---|
transaction_num | 57 |
---|
|
---|
58. | ref_block_num | 51,392 |
---|
ref_block_prefix | 4,035,625,209 |
---|
expiration | 2021-10-29 10:10:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"irmalangston","cards":["C3-348-712EJUYZJ4"],"app":"splinterlands/0.7.139","n":"Q5sRFh55FI"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6131ba535f2de6f6f40314191f9a1fefa5201c09e739acfb767e33d92582f06a736ef525c90b971d1d7f61b13a270e719d833862349083fab3c0d4af1c2eb1b4 |
---|
|
---|
transaction_id | 419500cbc56b7c245888d6462c7bfe1f911908f5 |
---|
block_num | 58,706,149 |
---|
transaction_num | 58 |
---|
|
---|
59. | ref_block_num | 51,379 |
---|
ref_block_prefix | 3,308,791,044 |
---|
expiration | 2021-10-29 10:09:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_token_transfer |
---|
json | {"to":"hajinguyen777","qty":19,"token":"DEC","type":"withdraw","memo":"hajinguyen777","app":"splinterlands/0.7.139","n":"WWb1OJVMiZ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f17f68f17083c21537258a9b7483684560b9d95fbc19c433d07778c1c5a719972352b824fe13d11c05540c1f371a82d5526c36bfd433060cfa1c6ee01c3cfe4d6 |
---|
|
---|
transaction_id | c0fd8bc4051a481a82fe0b85ccaad40c6828a337 |
---|
block_num | 58,706,149 |
---|
transaction_num | 59 |
---|
|
---|
60. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_refresh_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.147","n":"tIp2uYzAV4"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2040421bb565681180d60b37d097b9a170bf6aa75dfedcf0947f55c76bba8e047e28bdf0a24333c18ae2dc29f88dd963d9f25203897b13505c724e6650b0f24fbe |
---|
|
---|
transaction_id | 4bb1ae4772d482bb5760285620f5111e74591082 |
---|
block_num | 58,706,149 |
---|
transaction_num | 60 |
---|
|
---|
61. | ref_block_num | 51,387 |
---|
ref_block_prefix | 4,111,765,274 |
---|
expiration | 2021-10-29 10:09:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"2567dbafb882d6f0075c4a4b575598c08d004eed","app":"splinterlands/0.7.139","n":"x44vT4I9eJ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2054ffc74a06052b49eca50a4fb55c6b2bcfe419f80ae6bbdbfe1e5dc54746a0be02fac265feaa4fd2a9a81d83d4ca0de7f30dcdbe601cb32488c114c141efee4f |
---|
|
---|
transaction_id | 4d5b94de50bbb837c07c225ce73df330b37a92d3 |
---|
block_num | 58,706,149 |
---|
transaction_num | 61 |
---|
|
---|
62. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":203,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"tLT44JV97A"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 206101445c20688158229a22375f85c6321f3eaa2131d78c69c2332a26ce3cbfd755ab1432ec633a353fca9cc6a1946e855e50c02ab485c52a9a4c0b1ebfc2b6fe |
---|
|
---|
transaction_id | e2cec2a1bdf3749dd160cd62610e433427797d10 |
---|
block_num | 58,706,149 |
---|
transaction_num | 62 |
---|
|
---|
63. | ref_block_num | 51,394 |
---|
ref_block_prefix | 2,083,827,795 |
---|
expiration | 2021-10-29 10:10:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"lashawnskgh3","cards":["C3-348-N5N9NJY3G0"],"app":"splinterlands/0.7.139","n":"TgdbYLJYnV"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2d9a13c5057284b7ed2fcce732189989472f0c32173f4905b6611523ab4e1ee46162c5302c4ccec27128143f7fd51aeeb1ea63e0f3a7a41b1835ed3a0a468acb |
---|
|
---|
transaction_id | 7e958b2f804721e4c471d1dd4f80ab24306d175a |
---|
block_num | 58,706,149 |
---|
transaction_num | 63 |
---|
|
---|
64. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["39ae76ca11446dff3ca05066cdb106a41b4c9a0f-26"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"dScd17q6G1"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f05ac5434c489841d0baff3ea7c31a8700df0f52eb528cae2b3b7f0b4535f41e56b5d2618c6640973ca84f9a53f0938a580301c8a2923854eb9da81fb76df652a |
---|
|
---|
transaction_id | d996c12b66236701f31f5c82564eb8f8eceea848 |
---|
block_num | 58,706,149 |
---|
transaction_num | 64 |
---|
|
---|
65. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["95e857c470a1ecce44818ab04cc3a1b536606ded-109"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"UxDLtzrPMv"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20043049807427a72d195440df5fda8d420d005add40ca32a01e9d421fcad6a1d83ef58fa9e3a389ff88e9c41ab8bd287c920ac7f50ed046d5872b5113eb2230c6 |
---|
|
---|
transaction_id | 7e2f945f41cf9269ca29621e4ee0464b432ab350 |
---|
block_num | 58,706,149 |
---|
transaction_num | 65 |
---|
|
---|
66. | ref_block_num | 51,401 |
---|
ref_block_prefix | 287,073,686 |
---|
expiration | 2021-10-29 10:10:45 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"league_season","season":72,"app":"splinterlands/0.7.139","n":"rGFntwf3It"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2007de03561f6e54332a894e857f6300f6b821326081ed52bf1ad9eb3a7af3dec60f6b41d8f38fae10cab2a3c13debb235684d054f930cb21b93c15be69ffba1b4 |
---|
|
---|
transaction_id | 5eae7e1732edf0faa52673a32f7da92e13b326fc |
---|
block_num | 58,706,149 |
---|
transaction_num | 66 |
---|
|
---|
67. | ref_block_num | 51,424 |
---|
ref_block_prefix | 1,288,341,467 |
---|
expiration | 2021-10-29 10:02:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "steemmonsters/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 204747a185d99994657b4e9b301c9ba8f8f330682cd2862a1062f118805b69792f267ec2297f66d8375e848c7f59b2bcaa0e5b4e61d0263a06d08eb99425be9431 |
---|
|
---|
transaction_id | de85bfbd1e8f2633e34111c122143cdad3b5c605 |
---|
block_num | 58,706,149 |
---|
transaction_num | 67 |
---|
|
---|
68. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"ongtho100","qty":49,"token":"DEC","type":"withdraw","memo":"ongtho100","app":"splinterlands/0.7.139","n":"IfEtrzWDxt"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 201cbf2e3c37f514aaf46bba328165e39304a1a4be641918dfe30d7af14c549c854b59c43cdac52169431925c6f7aaff0e5a5070ef47a67d2786872e301beab51f |
---|
|
---|
transaction_id | dd0df28ce5b9a2e6c22c5ee8cac2af40c906622c |
---|
block_num | 58,706,149 |
---|
transaction_num | 68 |
---|
|
---|
69. | ref_block_num | 51,379 |
---|
ref_block_prefix | 3,308,791,044 |
---|
expiration | 2021-10-29 10:09:36 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"7efb3d6f995d23e6ddeeaea89241e7c2222bf4ec","app":"splinterlands/0.7.139","n":"QmqOX9rSGE"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 200a56e48587b5098e4420b4a743924e912a0a42f6eca36692f1b81690be3ca1ff0092b0176718ebb57c684b7ec386e96b0c9138a963e69a54f6af5e7218815f63 |
---|
|
---|
transaction_id | 6510821dfdc585a0fd9c5ee59be46f4b34794473 |
---|
block_num | 58,706,149 |
---|
transaction_num | 69 |
---|
|
---|
70. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_token_transfer |
---|
json | {"to":"hajinguyen777","qty":25,"token":"DEC","type":"withdraw","memo":"hajinguyen777","app":"splinterlands/0.7.139","n":"IhHWmhEWQk"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 203b6dcd106fc2b17f2069d6beba002c7bcc6d96422eee0555e9bb8778deeaa58d2740815831624abc233f826f036ceadbd24ccfdf86404d8bc303df3a13cc1414 |
---|
|
---|
transaction_id | 4a54f4cc53b322c6b83ed3ffbe99406dcdac031a |
---|
block_num | 58,706,149 |
---|
transaction_num | 70 |
---|
|
---|
71. | ref_block_num | 51,413 |
---|
ref_block_prefix | 513,961,964 |
---|
expiration | 2021-10-29 10:01:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | ssc-mainnet-hive |
---|
json | "[{"contractName":"tokens","contractAction":"issue","contractPayload":{"symbol":"FOODIE","to":"foodiesunite","quantity":"0.00265"}},{"contractName":"tokens","contractAction":"stake","contractPayload":{"symbol":"FOODIE","to":"asia-pl","quantity":"0.00132"}},{"contractName":"tokens","contractAction":"transfer","contractPayload":{"symbol":"FOODIE","to":"asia-pl","quantity":"0.00132","memo":"Here are your claimed tokens! (0.001325 more FOODIE were added to FOODIE POWER)"}}]" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f20253a2aaa638fc8307a455a6d281499758da1f42c6bc6f3e9490125bb113d025abfe19fc92988d80b4b94c5c0f1bf1075ec41518261a17f7ccd60f1192df411 |
---|
|
---|
transaction_id | 07bb4e4eaf231fa4d8c9ec14f05c7f9d24aeaf84 |
---|
block_num | 58,706,149 |
---|
transaction_num | 71 |
---|
|
---|
72. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"hjxEWBsyG9"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20070c025b5df329ef2d336866744e242d57cb33e5c7da8029e2c4c60dcc425b7a31289cfe39ed49da1c709de237737a07c94726b5a93614e50c93ee9cb5251c2a |
---|
|
---|
transaction_id | 48cfd39ff1bbd52c4b7262f4c2ae75e9cc6ea7f5 |
---|
block_num | 58,706,149 |
---|
transaction_num | 72 |
---|
|
---|
73. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "steemmonsters/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f05a1c165747bbaae73e38935f8035cf915b864ca2ce26ef8792756c1df3c0c2634fc3e9050090bb1cb86b4ca249ff0705a7394b00ac5754354d643cc667935a4 |
---|
|
---|
transaction_id | 1bb6367f7d8fa62dbb0206a144a54c8b52139191 |
---|
block_num | 58,706,149 |
---|
transaction_num | 73 |
---|
|
---|
74. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "steemmonsters/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20327a2e27e2f2eb77f552ae388b4e7e784249200c33305702c06a00637239233e4b4dab51d3a6950995f39202b3f2a00faf00493e92374fb2efcea537f4465c5d |
---|
|
---|
transaction_id | 777a032aa7ed47fd56bbf61bbcb5e937bdb524a1 |
---|
block_num | 58,706,149 |
---|
transaction_num | 74 |
---|
|
---|
75. | ref_block_num | 51,398 |
---|
ref_block_prefix | 1,299,561,127 |
---|
expiration | 2021-10-29 10:10:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"zmjpthsp1","cards":["C3-348-9JGOIJUCY8"],"app":"splinterlands/0.7.139","n":"pZvgHswywB"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 204396587694d7b67a5137e469cb12ecb979155b876a1534aec16b1a68fa02bb040f7121a93830d19a95e42631e12b3558de17d916c84eb131fbe59cb5f1527623 |
---|
|
---|
transaction_id | f275ba2c384c4d0a9594b82ab226ef19434c5885 |
---|
block_num | 58,706,149 |
---|
transaction_num | 75 |
---|
|
---|
76. | ref_block_num | 51,387 |
---|
ref_block_prefix | 4,111,765,274 |
---|
expiration | 2021-10-29 10:09:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"hillsklisd39","cards":["C3-348-KA834I19NK"],"app":"splinterlands/0.7.139","n":"XsKYb8tLHx"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f1727c2c4916cd742f596098925ad78c8a716337154337b1456e254064b13031737779d260aa4211ffc21ee3489a20bc32929983b5cfe87f45eb18eb0fc4b3d00 |
---|
|
---|
transaction_id | 35c53c7bc85649a5ff31de155489aa96c1ce3241 |
---|
block_num | 58,706,149 |
---|
transaction_num | 76 |
---|
|
---|
77. | ref_block_num | 51,374 |
---|
ref_block_prefix | 26,669,394 |
---|
expiration | 2021-10-29 10:09:09 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["22e349aa03f3bd19516fc908cc2f20182a3336cf-29"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"zF06aAks9E"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f5e7d5d11812ad847e2e4b7bf4792a10d45ad5705324379598792ffa61d22da263bdf87f223b03d0104c91ade74d1b2e32d4b065bd4ad73e9540e3468ca4a1d02 |
---|
|
---|
transaction_id | 853439cc63119fa1553144eea167fa2b4f4d4d64 |
---|
block_num | 58,706,149 |
---|
transaction_num | 77 |
---|
|
---|
78. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_token_transfer |
---|
json | {"to":"hajinguyen777","qty":21,"token":"DEC","type":"withdraw","memo":"hajinguyen777","app":"splinterlands/0.7.139","n":"KvqjPowKPR"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20628687eb12c93b2923671947b89784b2bde69acf2f7ee68119374cf2cf49d26040cf7631f404b34c887b2c1a649365bddfb165b359b10a3e80241d57a7bed309 |
---|
|
---|
transaction_id | b3ae2c33bb32668805c1383e2cba75ad1aa3c8e2 |
---|
block_num | 58,706,149 |
---|
transaction_num | 78 |
---|
|
---|
79. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | comment |
---|
1. | parent_author | bitcoinflood |
---|
parent_permlink | re-nathanmars-3vbbfh |
---|
author | nathanmars |
---|
permlink | re-bitcoinflood-6cvz4f |
---|
title | "" |
---|
body | "Heavy research is a must!
Luck and timing are something we have less control over. Having a long-term strategy and the right approach can help us.
> You make your own luck if you stay at it long enough
Posted Using [LeoFinance <sup>Beta</sup>](https://leofinance.io/@nathanmars/re-bitcoinflood-6cvz4f)" |
---|
json_metadata | {"app":"leofinance/0.2","format":"markdown","tags":["hive-191968","leofinance","hive-167922"],"canonical_url":"https://leofinance.io/@nathanmars/re-bitcoinflood-6cvz4f"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f638abb7db8abf19b6aef3a1c9cbb23587e0c17ed4ba696bc74a7c8d04c7ef1e7670d923079da122c4d73a8b818ebded1ae0429c230e75cc5421a7290a7fff634 |
---|
|
---|
transaction_id | 264e9723f87b2affb5df918e1b985c5040329299 |
---|
block_num | 58,706,149 |
---|
transaction_num | 79 |
---|
|
---|
80. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["35911b599de0cd774a2e1b7e7dd3e4b683fea494-54"],"currency":"DEC","days":2,"app":"splinterlands/0.7.139","n":"vs7CcNDexy"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f5bb33451799e9ed3058a681e71f81017d1e5ac01ccce846741460b47248289e2462492e1662bfd7d33160f81b1c632f8255a8ed16eb281c077507b86ade0f200 |
---|
|
---|
transaction_id | e7efdd69f105670b5fe376bddd82116cf044b0ad |
---|
block_num | 58,706,149 |
---|
transaction_num | 80 |
---|
|
---|
81. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:03:20 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"dauchan725","cards":["C3-349-JPWM5ZQSU8"]} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2043b536e873c88d9ec8055f890aab47e81d23a9c24b1370034b9f05b345b497212d7b9af215a8a1056305a8e646225c4c092018908f2995733936b5f217f08110 |
---|
|
---|
transaction_id | f4964913064a409519dac0c20ae11343da815c24 |
---|
block_num | 58,706,149 |
---|
transaction_num | 81 |
---|
|
---|
82. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["aa4bb9179b356ed3d6ceaa6263feb873770ba83f-26"],"days":2,"currency":"DEC","limit_price":0.21,"player":"fialau","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 207eea4d8254fbfb29f84565865a9c42cb3c5d7038beaf3e9b059b6862bffa4d5b1d9ec961fe982185a64ced9b1a9b06d3db61025aefe357d7acfd9b63ed72f78a |
---|
|
---|
transaction_id | 444b4554f0d4034117abedebb23d67177c12f2e9 |
---|
block_num | 58,706,149 |
---|
transaction_num | 82 |
---|
|
---|
83. | ref_block_num | 51,425 |
---|
ref_block_prefix | 135,491,346 |
---|
expiration | 2021-10-29 10:01:23 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["b747d9e41146e487aef0359301ce6f7129a5455b-0"],"currency":"DEC","days":2,"app":"splinterlands/0.7.139","n":"6Ucx5g2OQX"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20081dbe498eb21b0b29e3e1fe45f9d5a5f2c5b966b14f25f8ae5269eb1367a89a05caa683dea7cc01d1cf0f3bb29e523b3f23587cd1e4f2c8338a5a63d8644a48 |
---|
|
---|
transaction_id | 548f6efa39c96c04be29cf2688dc07553a910f8d |
---|
block_num | 58,706,149 |
---|
transaction_num | 83 |
---|
|
---|
84. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["28627a86ce363edf414fa2e4db996cfcaaa1ce5c-1"],"days":1,"currency":"DEC","limit_price":59.95,"market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6bea3f3c6af211adee0f2d8b81bdf70c010fe15efbc9b6e5f3e4d5c7219a6497639f7b74d842a839560db78af284a73e2b3ae74d8cf3985b51b44acfb9009e8b |
---|
|
---|
transaction_id | e1535412ffcfa94e579b874879b8e2bede019ed3 |
---|
block_num | 58,706,149 |
---|
transaction_num | 84 |
---|
|
---|
85. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"splinterlands/0.7.147","n":"uKnReAr0Up"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f66de0d6394505710e8a7ffb698ae999e08e3046d7397b15cde0ec659fd520e8c66ec3051c005eeae03edef142b1ca8bd221fe02295a42197dfe38a743bf0a83a |
---|
|
---|
transaction_id | cbe0fcbfdf82d9b12dfa5383bde89d979cb2f2eb |
---|
block_num | 58,706,149 |
---|
transaction_num | 85 |
---|
|
---|
86. | ref_block_num | 51,373 |
---|
ref_block_prefix | 284,824,345 |
---|
expiration | 2021-10-29 10:09:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_stake_tokens |
---|
json | {"token":"SPS","qty":0,"app":"splinterlands/0.7.139","n":"tdl11NwnGw"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20509c2aea298f00acc3032d35d9241ef797a56c868f43330e5b07de55ac56d0517f900219099bcc3ebef1bf50e35438625deb1bdc2e1dff2127b7aeb8448bcf69 |
---|
|
---|
transaction_id | a0db9cdf842dbc61eab142d2f868b951f120c4e1 |
---|
block_num | 58,706,149 |
---|
transaction_num | 86 |
---|
|
---|
87. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_renew_rental |
---|
json | {"items":["2b168789286403943963ffebfd15ea6aac46f8b6-4","7715e1ef589a846f78da4c8e9e129e7b66a150eb-0","d775ff882b5446fd8196c6c0eb4ecfad07ecdbab-1","2eefb1f1ab937990d9d6623a6492ede190496d7c-0","88119a6cd8e99a396f7c766a13982e9dd8325f85-0","13fe7cbbf1e1e3563c3315e734fb95ca28f7ef0b-0"],"days":1,"currency":"DEC","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f1d7177a2fab1ee6fae77e4387936dff50a61001821d137735fb9eced0c7a8a346b9df9019b59de8cc66f4fa0ba2db14b9299e38e283eb6a91c9ef3082bb41b32 |
---|
|
---|
transaction_id | f088c9abf1c0342b2271edca6aae3e8b3c782125 |
---|
block_num | 58,706,149 |
---|
transaction_num | 87 |
---|
|
---|
88. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":96,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"0T9tozu4L5"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f02ad64f9d50fdc182e853ea23d3a98814e75b68d70570a6a6e6f3946d2307064424ca8abaafb42799e9851b95551c75787746a8b0a8e33fb3806bf967b497be4 |
---|
|
---|
transaction_id | df0b79aef073a7e2e108f967b90157a29d9914dc |
---|
block_num | 58,706,149 |
---|
transaction_num | 88 |
---|
|
---|
89. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["ffbff680337561598dab9b2d7f0e85623140b2ce-0"],"currency":"CREDITS","days":1,"app":"splinterlands/0.7.139","n":"IfTHy8dNE3"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20541b4e211c670b72ddf1aeee1aa1a49ce0fcd9e5a4e995a23c990b24709f50644af3d58f6386b7bc4292ef7d25df05f79274ab62b52f55715472ef7f484a4d41 |
---|
|
---|
transaction_id | 999628609c6c26447f77b1820aec7b008dd561aa |
---|
block_num | 58,706,149 |
---|
transaction_num | 89 |
---|
|
---|
90. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | beacon_custom_json |
---|
json | {"ping":"pong"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6fc66a6aa9590e1e54128d2707ebd4cbfb5412bccf7a8020ac58c931712e0316103d9ac36d710857b77a2ec82aa5500368a8f28051f858662d833d94df4b5132 |
---|
|
---|
transaction_id | 45d4d09a0557e344960435761d8b08e48de6d36a |
---|
block_num | 58,706,149 |
---|
transaction_num | 90 |
---|
|
---|
91. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"dds453hg","cards":["G4-171-K4GYBQ35JK"],"app":"splinterlands/0.7.139","n":"O5ECNRRDBK"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f0e036124ef103cc4e9e7d53fe7ea3aa4f7f39f722d614a00f238c33a88f5cae46e626b73d4d46fe0baec669a91305daab012498763787e891055dd2a9f33fa31 |
---|
|
---|
transaction_id | da812239e8f91f2b9169595a346042e741ecee23 |
---|
block_num | 58,706,149 |
---|
transaction_num | 91 |
---|
|
---|
92. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "steemmonsters/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 204a1bb409b4cf9478a8691e54e47526fff2536dbcc1be2ec9b41ff2f2a3902afb7462ab6dbb0efb534bff1bdf54e046876c42ae841c80ad6536b98de77f14116c |
---|
|
---|
transaction_id | a22be49005094c9ddc3129d3484ff90d96b54f91 |
---|
block_num | 58,706,149 |
---|
transaction_num | 92 |
---|
|
---|
93. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | comment |
---|
1. | parent_author | "" |
---|
parent_permlink | hive-163521 |
---|
author | drifter1 |
---|
permlink | logic-design-combinational-logic-testbench-example-verilog |
---|
title | "Logic Design - Combinational Logic Testbench Example [Verilog]" |
---|
body | "![](https://i.ibb.co/02Nn3dy/5227842611-e65fa83134-b.jpg)
[Edit of [Image1](https://www.flickr.com/photos/creative_stock/5227842611)]
## Introduction
Hey it's a me again [@drifter1](https://peakd.com/@drifter1)!
Today we continue with the **Logic Design** series on **Verilog** to get into a **Combinational Logic Testbench Example**.
So, without further ado, let's get straight into it!
* * *
## Half Adder
Let's start off with the simplest form of an addition circuit, the so called Half Adder.
An Half Adder takes two 1-bit inputs *A* and *B*, and outputs a 1-bit sum (*S*) and a 1-bit carry (*C*). When either of the two inputs is 0 the sum and carry are also zero. When only one of the two inputs is 1 then the sum is 1 and the carry is 0. Lastly, if both inputs are 1, then the sum is 0 and the carry is 1.
Thus, the **Truth Table** is:
![](https://i.ibb.co/GcfxfBR/half-adder-truth-table.png)
It's easy to see that the output *S*, which is the sum, follows the XOR logic pattern, whilst the output *C*, which is the carry, follows the AND logic pattern. In other words, an Half Adder is basically the following logic circuit:
![](https://i.ibb.co/pywRwVC/half-adder-circuit.png)
* * *
## Implementation
Implementing such a simple circuit is a piece of cake in Verilog. Let's use **gate primitives** for this implementation, which allows us to instantiate built-in Verilog modules that implement the fundamental logic circuits. As always, outputs have to be defined and mapped before the inputs in these instantiations. Because all of the inputs and outputs are 1-bit wires there is also no need to specify the **wire** data type or the bit length, a simple **input** and **output** keyword are sufficient.
An half adder is thus:
```
module half_adder (output s, c, input a, b);
xor (s, a, b);
and (c, a, b);
endmodule
```
* * *
## Testbench
A testbench for this simple circuit is easy to implement. From the previous part of the series we know that a testbench doesn't have any I/O, and that the inputs are defined as **reg** types, whilst the outputs are defined as **wire** types. Let's also map the ports directly, using **positional mapping**.
Of course, there are only **four test cases**. So, let's define one **initial block** which will give the corresponding inputs, wait for one simulation cycle, and keep going. After each test case, let's add a conditional statement, which checks the outputs and prints a message to the console using the `$display` system task.
Let's not forget to include the `$dumpfile` and `$dumpvars` system tasks, which are used in simulations, and after the testing is done, let's also use the `$finish` system task to terminate the simulation. For the **timescale**, let's use 1ns / 1ps.
The Verilog HDL code for all that is simply:
```
`timescale 1ns/1ps
module half_adder_tb;
reg a, b;
wire s, c;
half_adder UUT (s, c, a, b);
initial begin
$dumpfile("dump.vcd");
$dumpvars;
// test case 0, 0 -> 0, 0
a = 0;
b = 0;
#1;
if ((s == 0) && (c == 0))
$display("Test Case 0, 0 -> 0, 1 passed!");
else
$display("Test Case 0, 0 -> 0, 1 failed!");
// test case 0, 1 -> 1, 0
a = 0;
b = 1;
#1;
if ((s == 1) && (c == 0))
$display("Test Case 0, 1 -> 1, 0 passed!");
else
$display("Test Case 0, 1 -> 1, 0 failed!");
// test case 1, 0 -> 1, 0
a = 1;
b = 0;
#1;
if ((s == 1) && (c == 0))
$display("Test Case 1, 0 -> 1, 0 passed!");
else
$display("Test Case 1, 0 -> 1, 0 failed!");
// test case 1, 1 -> 0, 1
a = 1;
b = 1;
#1;
if ((s == 0) && (c == 1))
$display("Test Case 1, 1 -> 0, 1 passed!");
else
$display("Test Case 1, 1 -> 0, 1 failed!");
$finish;
end
endmodule
```
* * *
## Simulation using EDA Playground
Let's use [EDA Playground](https://www.edaplayground.com/) in order to simulate this circuit.
After logging in, just paste in the corresponding Verilog codes, as shown below:
![](https://i.ibb.co/N3xvZ46/eda-1.png)
In order to avoid simulation problems, we also include the same timescale in the right module.
In the left menu, we choose Icarus Verilog 0.9.7 as the compilation tool and tick the *Open EPWave after run* box.
![](https://i.ibb.co/hVR59mB/eda-2.png)
After that we simply hit the *Run* button in the top menu.
![](https://i.ibb.co/m6T9kX8/eda-run.png)
Doing that, the corresponding wave form pops up.
![](https://i.ibb.co/JnfPC5k/eda-3.png)
And closing that window, we can also see the console print out the *passed* messages as well.
![](https://i.ibb.co/KbT5rnM/eda-4.png)
* * *
## Simulation using ModelSim
Simulation using the [ModelSim](https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/model-sim.html) software is also quite straightforward. I will skip the installation procedure, so let's get into the basic steps of simulation.
From the toolbar open the directory where the Verilog modules are located.
![](https://i.ibb.co/n344V0y/modelsim-1.png)
In the console type `vlib work` followed by `vmap work work` in order to initialize the work space.
![](https://i.ibb.co/kmKM4CY/modelsim-2.png)
Compile the two Verilog modules by typing:
```
vlog half_adder.v
vlog half_adder_tb.v
```
Afterwards, simulate the testbench, add all the signals and simulate the complete testbench by typing:
```
vsim half_adder_tb
add wave *
run -all
```
The following waveform pops up:
![](https://i.ibb.co/mCcQSxD/modelsim-3.png)
And the console prints out:
![](https://i.ibb.co/6Bfdz2J/modelsim-4.png)
Let's note, that `$finish` was replaced by `$stop`, cause else the complete software shuts down (after a message box though).
**Note**: In small designs the waveforms are mostly sufficient! But in more complicated designs getting less output, which for example tells if all the test cases passed successfully, or which cases failed, might be far more useful. This takes time to master, so don't worry too much about it!
* * *
## RESOURCES:
### References
1. [http://www.asic-world.com/verilog/veritut.html](http://www.asic-world.com/verilog/veritut.html)
2. [https://www.chipverify.com/verilog/verilog-tutorial](https://www.chipverify.com/verilog/verilog-tutorial)
3. [https://www.javatpoint.com/verilog](https://www.javatpoint.com/verilog)
### Images
1. [https://www.flickr.com/photos/creative_stock/5227842611](https://www.flickr.com/photos/creative_stock/5227842611)
Block diagrams and other visualizations were made using [draw.io](https://app.diagrams.net/)
* * *
## Previous articles of the series
* [Introduction](https://peakd.com/hive-169321/@drifter1/logic-design-verilog-introduction) → Basic Syntax, Data Types, Operators, Modules
* [Combinational Logic](https://peakd.com/hive-169321/@drifter1/logic-design-combinational-logic-in-verilog) → Assign Statement, Always Block, Control Blocks, Gate-Level Modeling and Primitives, User-Defined Primitives
* [Combinational Logic Examples](https://peakd.com/hive-169321/@drifter1/logic-design-combinational-logic-examples-verilog) → One Circuit - Four Implementations, Encoder, Decoder, Multiplexer
* [Sequential Logic](https://peakd.com/hive-169321/@drifter1/logic-design-sequential-logic-in-verilog) → Procedural Blocks (Initial, Always), Blocking and Non-Blocking Assignments, Statement Groups
* [Sequential Logic Examples](https://peakd.com/hive-169321/@drifter1/logic-design-sequential-logic-examples-in-verilog) → Flip Flops (DFF, TFF, JKFF, SRFF), N-bit Counter, Single-Port RAM
* [Finite-State Machines](https://peakd.com/hive-169321/@drifter1/logic-design-finite-state-machines-in-verilog) → Finite-State Machine (FSM), FSM Types, State Encoding, Modeling FSMs in Verilog
* [Finite-State Machine Examples](https://peakd.com/hive-169321/@drifter1/logic-design-finite-state-machine-examples-in-verilog) → Moore FSM Example (1 and 2 always blocks), Mealy FSM Example (1, 2 and 3 always blocks)
* [Testbenches and Simulation](https://peakd.com/hive-169321/@drifter1/logic-design-testbenches-and-simulation-in-verilog) → Testbenches (DUT / UUT, Syntax, Test Cases), System Tasks, Simulation Tools
* * *
## Final words | Next up
And this is actually it for today's post!
Next time we will get into a Sequential Logic Testbench, which will basically be a simple FSM...
See Ya!
![](https://steemitimages.com/0x0/https://media.giphy.com/media/ybITzMzIyabIs/giphy.gif)
Keep on drifting!" |
---|
json_metadata | "{"app":"peakd/2021.09.1","format":"markdown","description":"A simple, full-on example of implementing, simulating and verifying a half adder circuit","tags":["programming","development","hardware","rtl","hdl","verilog","testbench","simulation","implementation","adder"],"users":["drifter1"],"image":["https://i.ibb.co/02Nn3dy/5227842611-e65fa83134-b.jpg","https://i.ibb.co/GcfxfBR/half-adder-truth-table.png","https://i.ibb.co/pywRwVC/half-adder-circuit.png","https://i.ibb.co/N3xvZ46/eda-1.png","https://i.ibb.co/hVR59mB/eda-2.png","https://i.ibb.co/m6T9kX8/eda-run.png","https://i.ibb.co/JnfPC5k/eda-3.png","https://i.ibb.co/KbT5rnM/eda-4.png","https://i.ibb.co/n344V0y/modelsim-1.png","https://i.ibb.co/kmKM4CY/modelsim-2.png","https://i.ibb.co/mCcQSxD/modelsim-3.png","https://i.ibb.co/6Bfdz2J/modelsim-4.png","https://steemitimages.com/0x0/https://media.giphy.com/media/ybITzMzIyabIs/giphy.gif"]}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 206dfc73bd0fe4759de15d22c86b8775d18bdac250c6e31bc156a9c9e243cdf702473d43922054306c73b01d53ee0759ec6af2f137a58eea1bec0d1065615fda72 |
---|
|
---|
transaction_id | d5ca9c5b0587c233f45f0e1d68f7bd3e1deee50f |
---|
block_num | 58,706,149 |
---|
transaction_num | 93 |
---|
|
---|
94. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_submit_team |
---|
json | "{"summoner": "starter-49-QGeuM", "monsters": ["starter-136-CBOMT", "starter-50-8rR4h", "starter-47-puSU6", "starter-138-MTq1W", "starter-62-7sIzw", "starter-51-btq1y"], "trx_id": "34b442bcdf6fe491ec19208abe8f579b1be40ec7", "app": "steemmonsters/0.7.139", "secret": "bWhp57nkvc", "team_hash": "2dab3a5e555b9a06eea7f62b7264ffa6"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2fe2dace2f0a8658a1e115daa10fa88c41e6dddba87d683f8235640a159dcea47d4fcf1a291d3ddf4d5459c2411981f8d3fbf4153de42ed68d1995b8ad1091b5 |
---|
|
---|
transaction_id | 615a8b65018b6d6d9c2d624d10dfa8f95880d990 |
---|
block_num | 58,706,149 |
---|
transaction_num | 94 |
---|
|
---|
95. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"sl-mobile/0.7.139","sm_id":"R2YAKmW7r7"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 200b98637ca809f06467548578cca8d9acc6398ff19989d3f7094a65428744804c080c29a192bc9ff22c4a2c9c0fa169f6300504e3a112b6d875a8166e5cbe61dc |
---|
|
---|
transaction_id | 3712f5c5fd479bbb4a0e36106ecd63e8b1de307b |
---|
block_num | 58,706,149 |
---|
transaction_num | 95 |
---|
|
---|
96. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["ecc6dee6906d35df5e9020601bd929aa697b953b-4"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"BXxCGTUN41"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2015561adcb3dd0785708415f42a9d873cf6a72ec05afa7ada1992be24e8c9dc4418a5062588a7fa609d8f859c2933a3698c3c2001046071263f854c1da68463ff |
---|
|
---|
transaction_id | 211288a7b5ba6164de791b754966ebe0759a2884 |
---|
block_num | 58,706,149 |
---|
transaction_num | 96 |
---|
|
---|
97. | ref_block_num | 51,406 |
---|
ref_block_prefix | 1,144,340,475 |
---|
expiration | 2021-10-29 10:10:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":219,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"2fg8Wsl3mc"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 203443639b1668041107f128ec271a7124087f5fd27d2192ce1e9d6d797029b41c3e15602734de6e2a56a26e6dabd53adca1de756fc26c99407f9d50396b3d29f6 |
---|
|
---|
transaction_id | 46433a25b2df5816070d9175e6a74b1f2269b007 |
---|
block_num | 58,706,149 |
---|
transaction_num | 97 |
---|
|
---|
98. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["aa4bb9179b356ed3d6ceaa6263feb873770ba83f-27"],"days":2,"currency":"DEC","limit_price":0.21,"player":"duptun","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f4d38007c723ab89008917a2d47b641e140c5f7ee9802da23ba7737e807dc996b2fe8600b21d3e094975a28597377e9e7fe65f2af42ddcba9bee795c696962f1b |
---|
|
---|
transaction_id | 08feb6245de3c846d95b896399ee2958235e2563 |
---|
block_num | 58,706,149 |
---|
transaction_num | 98 |
---|
|
---|
99. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | notify |
---|
json | ["setLastRead",{"date":"2021-10-29T10:00:57"}] |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f16cf9662b1736b5ff95879fa4280894b81dd78b596fc90058bb31ac83c5aa180277f4291fc8eac0539168399044c20280e0b0f8f8f9fd0bd50c6802ce4b59ce7 |
---|
|
---|
transaction_id | 788d051247d890edde9444e16c2f298417e65eb7 |
---|
block_num | 58,706,149 |
---|
transaction_num | 99 |
---|
|
---|
100. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:03:01 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["b0320921b7780c79662f49ab8f57e6d93293838c-0"],"days":1,"currency":"DEC","limit_price":0.118,"market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 205650e11207d3481e4d0b1df559ab51c5a27c0476e5bcea2168504c1ec5c015581c8d220db3964dc9d53158f3417d181c1e6f8ef9f91e60225b70157f5864e566 |
---|
|
---|
transaction_id | 34079bfde631a074eb564061c41b8977ec738d19 |
---|
block_num | 58,706,149 |
---|
transaction_num | 100 |
---|
|
---|
101. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"sl-mobile/0.7.139","sm_id":"kYR6waK1Ug","proxy_acct":"acolyte_837136"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f61ddf74ce2ada3592045dc634e8ac98ab9c1eec81d7de33f1824311416a43b1e1e74a83637def8968658a16acbd20742f47a23fae6ccd77e2562d2af74184c40 |
---|
|
---|
transaction_id | 57a4edba904d2a6d061a6145d1d1dc1092d691ca |
---|
block_num | 58,706,149 |
---|
transaction_num | 101 |
---|
|
---|
102. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:03:01 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"rell121","cards":["C3-336-VH6H1GHVUO","C3-336-UW42YIDN5S"]} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20783905ff604d788a2cb474486d8122514e44eee6c4eb9911b0ff55905e0306925f50257f7e4b50abee790f488180ddd59195bb4aa53665c1ed7322d543642f43 |
---|
|
---|
transaction_id | 0fed682a8aea0f238d422840ce9b51917eb0e21a |
---|
block_num | 58,706,149 |
---|
transaction_num | 102 |
---|
|
---|
103. | ref_block_num | 51,425 |
---|
ref_block_prefix | 135,491,346 |
---|
expiration | 2021-10-29 10:01:23 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["0db5dd11a27613e2104ff12fd1d3fd538dfc39bc-0"],"currency":"DEC","days":2,"app":"splinterlands/0.7.139","n":"TRQrwJxtbI"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20473cfcf086d93b9d923628aba2cd9453f89ce4f756bf6a740b134da2fe13279545569c95c92b1f71fac4d6f783063b0f082b8a56ee6ea2df1b9cc507821cd839 |
---|
|
---|
transaction_id | 3a1396c4b660e18018b0ea3c650012fffd3ba850 |
---|
block_num | 58,706,149 |
---|
transaction_num | 103 |
---|
|
---|
104. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"queocu1","cards":["C3-340-L1AP23B98W"],"app":"splinterlands/0.7.139","n":"xFmvRqb0l9"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 204b734a8560c401620c82c35d6e80b3e98fbc8eca75009352fd7d7de59c3ca98d03e7b250146e38f526e7545e26746fea4dbd7056cd1e5dcc87e3b269d062ce03 |
---|
|
---|
transaction_id | d35d3111b33f59883e9d2182a68e727410f49db7 |
---|
block_num | 58,706,149 |
---|
transaction_num | 104 |
---|
|
---|
105. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"F50fqSi7w3"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f7d6d07df5caafd5b5ff7c6bf336fbda77290e713ee8b1bd056dc63f0dd51d244799d575cf5eb7cdee9e0c45f2b0ae386d0b977487bf5aa56afd0fb4fda532bcc |
---|
|
---|
transaction_id | f082d46b0e49828ae3ad05892e0bfa2019a8a4f6 |
---|
block_num | 58,706,149 |
---|
transaction_num | 105 |
---|
|
---|
106. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"khT6PzR14s"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6de5cbc1ea6d3b137f91dfcd8d57759064d0ca31dad839fe544b90497d25a54f5fe6fd4eaaa1168232fd4110783feeeb48d0be43ba84ee739bc76d0e42b027d7 |
---|
|
---|
transaction_id | be81e4e42f728e83f6a2e5641d7655361dd9869b |
---|
block_num | 58,706,149 |
---|
transaction_num | 106 |
---|
|
---|
107. | ref_block_num | 51,378 |
---|
ref_block_prefix | 3,569,762,498 |
---|
expiration | 2021-10-29 10:09:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"tiffanyfxgx","cards":["C3-348-TGDTJZDEPC"],"app":"splinterlands/0.7.139","n":"eY2tm5vBnk"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6f8c8d1641a55008e5ebe92c1fccd33050feddabfb1dec23cd8688c8ec8b52ef30a4a48fb98dfb5f1e5c3fac3b9a05b9a6db44a991e269cf578141f5063e0dd8 |
---|
|
---|
transaction_id | ea556b2ba094f4963ae9ed7ffce2b7df2aa4e924 |
---|
block_num | 58,706,149 |
---|
transaction_num | 107 |
---|
|
---|
108. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:01:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | ssc-mainnet-hive |
---|
json | "[{"contractName":"tokens","contractAction":"stake","contractPayload":{"symbol":"LASSECASH","to":"asia-pl","quantity":"0.02147738"}},{"contractName":"tokens","contractAction":"transfer","contractPayload":{"symbol":"LASSECASH","to":"asia-pl","quantity":"0.00238637","memo":"Here are your claimed tokens! (0.021477 more LASSECASH were added to LASSECASH POWER)"}}]" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 200249283240e6a289f921c1cc40e2464ababe90a37e2d30d646ce9ba1ac76605e7c9455de4a298a50c9a9cab5bd2fe17e612c7698a413fb70806db67754885ca2 |
---|
|
---|
transaction_id | 1a6f7e099fd0ae31058581e2ffde8ed873609ea5 |
---|
block_num | 58,706,149 |
---|
transaction_num | 108 |
---|
|
---|
109. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"token":"SPS","qty":0.132,"to":"ninhthinh","memo":"ninhthinh","app":"splinterlands/0.7.139","n":"9jORqlmp5D"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 205d0859239a057a1bbafd36a4a8a89fc0cdc957074c4a78e9a32ef8732cf64ce31b3690c33076dc9196c6e64a8b80e803912511926ff28002cc2810f3533a1e62 |
---|
|
---|
transaction_id | 9911b85f9221ef3b85c0861c511152f4d36e0da6 |
---|
block_num | 58,706,149 |
---|
transaction_num | 109 |
---|
|
---|
110. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"jaspermwog66","cards":["C3-346-6IMG2GKKUO"],"app":"splinterlands/0.7.139","n":"YVQ91y4ovT"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 203fc94057577b49037193d4d8d6383ddb2cb51aca89e4a081a6f99225055d66795dc11473f1386fc6f677f9ba0ef70e2ff8359502fcf7439a6772c60eb945459a |
---|
|
---|
transaction_id | 24032f6514b2aa7c67c82eedf960f063c5bd5099 |
---|
block_num | 58,706,149 |
---|
transaction_num | 110 |
---|
|
---|
111. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"hua0001","cards":["C3-340-41O2LDT31S"],"app":"splinterlands/0.7.139","n":"ZdvHKvKcgZ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20129b3cc7087b65a575da782a3b3111881185c099d7965b22c62233f78436f50804fe4fe2a08655218d5c34578ff1330f7deb9fcc853cde6ce4ce113b7ebd2102 |
---|
|
---|
transaction_id | 108eb722080fefc646bb63584aa8c0e38744d72e |
---|
block_num | 58,706,149 |
---|
transaction_num | 111 |
---|
|
---|
112. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"duynkph00757","qty":123,"token":"DEC","type":"withdraw","memo":"duynkph00757","app":"splinterlands/0.7.139","n":"F7GnO8QvR0"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 202d77007dcdeda43da3c6365618a5bf368a4663ad2ba7f927f665c8919fc9e8962ae077d9f31627e1448a4c0f4c37b37133d8038c96ec0b572da69c2ef391707b |
---|
|
---|
transaction_id | e6ee362de704b4e858911e705d38a6dff8fd9ddb |
---|
block_num | 58,706,149 |
---|
transaction_num | 112 |
---|
|
---|
113. | ref_block_num | 51,394 |
---|
ref_block_prefix | 2,083,827,795 |
---|
expiration | 2021-10-29 10:10:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["86a6b8ca553a95225f7eee7fa3747ae5457ac4a2-0"],"currency":"DEC","days":3,"app":"splinterlands/0.7.139","n":"4nU9wG51Z8"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f0a222d20112112b6a3d04b35e6e5ea46aa422fd4e2d8aa64403928b62439ae5871b9cd168a27213b8641674dcffc1045bbd0a6eff32e6a89d261c38575d41965 |
---|
|
---|
transaction_id | 1d6a720259d0576f55a0a32f394cade255986fcc |
---|
block_num | 58,706,149 |
---|
transaction_num | 113 |
---|
|
---|
114. | ref_block_num | 51,394 |
---|
ref_block_prefix | 2,083,827,795 |
---|
expiration | 2021-10-29 10:10:21 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"league_season","season":72,"app":"splinterlands/0.7.139","n":"1s8jtntVPJ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20054f69254e950040385331bea0c88aa3a9608177833f88d12bd875382ba5151c35b094a51313e08c6917fd0ec3f5d0e3f081a8ff462ccc16a6000fac3fa1e86d |
---|
|
---|
transaction_id | 6a326c26772900ae1dcd6ba3e9626e04186032f8 |
---|
block_num | 58,706,149 |
---|
transaction_num | 114 |
---|
|
---|
115. | ref_block_num | 51,385 |
---|
ref_block_prefix | 2,473,412,369 |
---|
expiration | 2021-10-29 10:09:42 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"jrrfgcv","cards":["C3-334-O9PL0KKZ68"],"app":"splinterlands/0.7.139","n":"egPy0z3GST"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f2579e67a1d0e90c033ba1cbbc34803e15cd3450664b83d7f5666dd94b43a0a776b7523af6adca67b42b6e7d06e855770e906b557b67dc9b17b08c21fcda5a9e9 |
---|
|
---|
transaction_id | bfa8729e388be298642f37b1e4539a04d00ca8c7 |
---|
block_num | 58,706,149 |
---|
transaction_num | 115 |
---|
|
---|
116. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["9e335392e554d839b436efb63caf13b1d7afbfc6-0"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"jDbesZKWEJ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20154e70c4d01bc616e5450d436d92c11aefb9d89804abb3a914c2855a0e7936cc41d0fef6f8df0d1b163e48d8dcb435115882b08761ffb6eb18b1e5b4e992390f |
---|
|
---|
transaction_id | 07e80dcbb7599645070e596358a910ac956cdab0 |
---|
block_num | 58,706,149 |
---|
transaction_num | 116 |
---|
|
---|
117. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_submit_team |
---|
json | "{"summoner": "starter-49-jH7lK", "monsters": ["starter-50-rT3Kz", "C3-230-UHSXC3VGMO", "starter-47-uj7im", "starter-52-PT5st", "starter-138-B1UlA"], "trx_id": "77ce1b3903a2bf278150a7e785366336831a6063", "app": "steemmonsters/0.7.139", "secret": "GqbeRz2jT4", "team_hash": "86650cd73858b63dc2c29623cc67db51"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f0206b805e595c4a4e700fd3c794414ed245a088f059fbcda212959a44fb356895fcc4d409428b370828e46c29d13341298a1303b96ed651a46fbe2f27c4fffe2 |
---|
|
---|
transaction_id | 2026eeec331032cf7c0b0de3c5e67e13b7cf3fb1 |
---|
block_num | 58,706,149 |
---|
transaction_num | 117 |
---|
|
---|
118. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"r9kOnTbwgf"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f0c49279dbce65af8fde0c67577e48e39663b969363b18d9dd1fe65942cd312523de15428bbf2d55ba292bed98dc70cc42b00fa365934514ef1ce015d7e1550af |
---|
|
---|
transaction_id | 5841a7e2448b2271a24478b01742794dc82a4300 |
---|
block_num | 58,706,149 |
---|
transaction_num | 118 |
---|
|
---|
119. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"Legion/1.0"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2066f0baf211645c138e1768c2a755227f8a2814fe02fcaa04f5b9b861af0f68a11d8cd62a4ace1938afc8ea960c09423d1dc1c858f43b77a943f8c00e0dba0e33 |
---|
|
---|
transaction_id | ae91a56148d1160e8ba56aa864cabb42c580a947 |
---|
block_num | 58,706,149 |
---|
transaction_num | 119 |
---|
|
---|
120. | ref_block_num | 51,413 |
---|
ref_block_prefix | 513,961,964 |
---|
expiration | 2021-10-29 10:01:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | ssc-mainnet-hive |
---|
json | [{"contractName":"market","contractAction":"cancel","contractPayload":{"type":"buy","id":"bdd322c6fe72ae3db51758380f39ad0e5c2922e4-1"}},{"contractName":"market","contractAction":"buy","contractPayload":{"symbol":"DEC","quantity":"40000","price":"0.01363025"}},{"contractName":"market","contractAction":"cancel","contractPayload":{"type":"buy","id":"2e152ff69d9bd8f342ef1a5730b9d474bc200fb6-1"}},{"contractName":"market","contractAction":"buy","contractPayload":{"symbol":"LEO","quantity":"300","price":"0.36105207"}},{"contractName":"market","contractAction":"cancel","contractPayload":{"type":"sell","id":"bdd322c6fe72ae3db51758380f39ad0e5c2922e4-4"}},{"contractName":"market","contractAction":"sell","contractPayload":{"symbol":"SPS","quantity":"400","price":"0.76394995"}}] |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f03443794caef5b961561caaab20d76d9dabc28d718e1261a15e85098610f6fd326384b67695f9ef27447b9df1265d2435cd5f7c20e8b4907442676694d6015c5 |
---|
|
---|
transaction_id | fc17eb79e370361764be16e0f8bd351f40cb5e17 |
---|
block_num | 58,706,149 |
---|
transaction_num | 120 |
---|
|
---|
121. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"NVL46VKJ1a"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f05d345db42060afa49e447ab9bd76c908d4cd027a6c2c6ddabcd3d29428788c21ce2684217ddc7cf577c78542dba4f135a76fd0c473321c1b1263ead86363f9a |
---|
|
---|
transaction_id | e74fb2a9194c6b73b07e97acae550db8c7639a12 |
---|
block_num | 58,706,149 |
---|
transaction_num | 121 |
---|
|
---|
122. | ref_block_num | 51,425 |
---|
ref_block_prefix | 135,491,346 |
---|
expiration | 2021-10-29 10:01:23 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["b232ed58aa6fd17119906a6b4329440d06e5eab1-0"],"currency":"DEC","days":2,"app":"splinterlands/0.7.139","n":"1s5IDwS39n"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 204510d422ff7eb970110e6d23072c28ad88efbe9ea91eadeb9730762cf0f2eb820cfe1ff4ae87eec7784596eb9eb4aae0891f001bf3189b182d8287770bc98451 |
---|
|
---|
transaction_id | 54b882ade9d1c62ed4e5339be4f57ad8e9ac6612 |
---|
block_num | 58,706,149 |
---|
transaction_num | 122 |
---|
|
---|
123. | ref_block_num | 51,413 |
---|
ref_block_prefix | 513,961,964 |
---|
expiration | 2021-10-29 10:01:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_team_reveal |
---|
json | "{"trx_id":"0dd63b1f4e1512ef99e7d8351eaef603e952e260","team_hash":"125c6a682987429695a2cc1ecb89c621","summoner":"starter-49-bW8cs","monsters": ["starter-50-nI4Ar","starter-52-W7ehT","starter-138-dOXVc","starter-47-sYW68","starter-51-3GM2G"], "app":"splinterlands/0.7.147", "secret": "FC5E6G"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2033731d4449af0f0c267d33f00921d0dd1c30ddbb3218764eb31d0bdf56aeffe514fc96a82cae83e94a99a9fab3e3d818ad51f743bbbbfded18b48bbf2df5a12b |
---|
|
---|
transaction_id | ab88bc5280a2d36595a3ee8bf4e50b27a62744d8 |
---|
block_num | 58,706,149 |
---|
transaction_num | 123 |
---|
|
---|
124. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_gift_cards |
---|
json | {"to":"esdcxvvsdf","cards":["C3-340-ER7TNLHIHC"],"app":"splinterlands/0.7.139","n":"KhHEFMN7P8"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2062a278cb671a7a8091676f910d588a3fa25e91eee26a21cabacd01d889d224982955b5b04098bface24387ea360353daaf855f4b17b844c71ff2d1af86dfd4fd |
---|
|
---|
transaction_id | 4e8787a325dd669f43f6a2265d7a8a46635a83e4 |
---|
block_num | 58,706,149 |
---|
transaction_num | 124 |
---|
|
---|
125. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"gbirch749465276","cards":["G3-332-L579YTJD0G"],"app":"splinterlands/0.7.139","n":"oasm1FOvPg"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20683e783107d3986201c620b7485a95c382496b09328b19cee99cfacd34bad498359c1b88bc5da60dc9bece36ca77a1f0b6a9618cc0b297e53ae5f921ea20afd7 |
---|
|
---|
transaction_id | c30df0c738bc7e9939c7499e7369a1e0fe1a8c78 |
---|
block_num | 58,706,149 |
---|
transaction_num | 125 |
---|
|
---|
126. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | {"match_type":"Ranked","app":"splinterlands/0.7.147","n":"FvaGvIO8Hs"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f667220a8f749069e73c77532f84fa496a062d6b520471cd47728a31cffb6bab87c9a775d2b42cb9248ed59286aa1492d8b192d9e13f5334b68610ddb59878b10 |
---|
|
---|
transaction_id | dee7045c9d119ccf4ff00eb77c8c5d02a799b720 |
---|
block_num | 58,706,149 |
---|
transaction_num | 126 |
---|
|
---|
127. | ref_block_num | 51,411 |
---|
ref_block_prefix | 1,933,695,790 |
---|
expiration | 2021-10-29 10:10:57 |
---|
operations | 0. | 0. | transfer |
---|
1. | from | peak.beacon |
---|
to | peak.beacon |
---|
amount | 0.001 HIVE |
---|
memo | "I think Microsoft named .Net so it wouldn’t show up in a Unix directory listing - Oktal" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 207ef915f7ba05363f5b9498a9eefcd0a3a16c5a1c08082e7fb70806bb271c6fcb5c579b108846e36a92fde252dfdf5ac000586d4e8664f6d034b89752dd079b9b |
---|
|
---|
transaction_id | 97a141797e15d1b31ff5328bc25b0b7b3c400745 |
---|
block_num | 58,706,149 |
---|
transaction_num | 127 |
---|
|
---|
128. | ref_block_num | 51,406 |
---|
ref_block_prefix | 1,144,340,475 |
---|
expiration | 2021-10-29 10:10:48 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"b8a60d4db82c44d83effbc1adf36d5ee1c6c49c7","app":"splinterlands/0.7.139","n":"D927lOwPEb"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6524df77c7ef7ec5e7d738b1090208eebf6b200c21b521d9b0457c2cc4a69bde6a65f05154fc3f1d018cc3447a3dd6e9a6dccd2d8c7a1f3fd0d29b2fb8b7fb13 |
---|
|
---|
transaction_id | 41d96e48880452fb7d543d6a36ceae70451807e9 |
---|
block_num | 58,706,149 |
---|
transaction_num | 128 |
---|
|
---|
129. | ref_block_num | 51,386 |
---|
ref_block_prefix | 3,699,243,000 |
---|
expiration | 2021-10-29 10:09:45 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"mhiageq19","cards":["C3-344-99JKJT3LG0"],"app":"splinterlands/0.7.139","n":"V7NoLpKyy3"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2047a4b344c8a0d610617a6c23f1577493db100e8cfc5eab6adb4b26a62b54db1e50631fe32c9497f203f01fbb4bf7dee37d9e2f48a81f35995392b566f10d6fed |
---|
|
---|
transaction_id | 7b198ede4336a4e71ed228c5fe0d7248eb64ab33 |
---|
block_num | 58,706,149 |
---|
transaction_num | 129 |
---|
|
---|
130. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_token_transfer |
---|
json | {"to":"ninhthinh","qty":107,"token":"DEC","type":"withdraw","memo":"ninhthinh","app":"splinterlands/0.7.139","n":"tEhtQ09Icj"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2069104af0b8f94d8e901e010c37b8d4bc4ffd344806b12e3d12f1b56a9a53262a43aa9789bb648162d5b13ac73e1c828699dadeaf43e363abaea2dc069fd1ea88 |
---|
|
---|
transaction_id | 4460f5889f1c0fd59189a38fa9085edf7b9e59f6 |
---|
block_num | 58,706,149 |
---|
transaction_num | 130 |
---|
|
---|
131. | ref_block_num | 51,413 |
---|
ref_block_prefix | 513,961,964 |
---|
expiration | 2021-10-29 10:01:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_team_reveal |
---|
json | "{"trx_id":"b14350e870d7c0a1426d050a2776e6d9c0c561c8","team_hash":"dd5a65130ed8bbb81c3b4ee24e677e43","summoner":"starter-167-H4UZh","monsters": ["starter-162-XiC3T","starter-1-zsVQb","starter-158-3lV3s","starter-163-okFKp","starter-161-Df7x2","starter-4-nysof"], "app":"splinterlands/0.7.147", "secret": "6EEVSP"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f4d664cb660582d4a57d5b08079bd4b8425486a918848a38822ec5da5e5c6c77302301c0f8c59c63eedcb539185e0c5c98d6c98c79341f4f07270379ce664d34b |
---|
|
---|
transaction_id | e8c58af14f810177be6c4add996444bd99bd7305 |
---|
block_num | 58,706,149 |
---|
transaction_num | 131 |
---|
|
---|
132. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["aa4bb9179b356ed3d6ceaa6263feb873770ba83f-28"],"days":2,"currency":"DEC","limit_price":0.21,"player":"cezvib","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2040a31ee74a24d32314b42143ac9d46322b3e508864a6b0169918bff465cdb3611ead07276fd6ef484c5fe0adcd07fff76e8c6c0a2df3a45a6ed291341f06443b |
---|
|
---|
transaction_id | b894a6a12d22844fdaa7aa9bdb047105fe2cd7bb |
---|
block_num | 58,706,149 |
---|
transaction_num | 132 |
---|
|
---|
133. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["aa4bb9179b356ed3d6ceaa6263feb873770ba83f-29"],"days":2,"currency":"DEC","limit_price":0.21,"player":"deodoj","market":"peakmonsters","app":"peakmonsters"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 203f17e7991323d34c4dbbd1093560284e417292ac157f2af8f2ffc841dfed67af31964b6b05c56614517cb2b6e81280ab1e98a28afeb262da5a1be955f7c2933a |
---|
|
---|
transaction_id | 17a687a87038c8d7509913994698eac92b3de4a8 |
---|
block_num | 58,706,149 |
---|
transaction_num | 133 |
---|
|
---|
134. | ref_block_num | 51,398 |
---|
ref_block_prefix | 1,299,561,127 |
---|
expiration | 2021-10-29 10:10:42 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"7a0ead364507f2084a415901521abb2661a9cc36","app":"splinterlands/0.7.139","n":"xobF4YMbVG"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 201f6ec65a1ae38b1daef4347f3cfbafefdb95f620502c5258aece4a1fc7730ce1178158100fa30ed40ee4cc9beb61e770a12e03a18964bce22f6e57abe4c2b24d |
---|
|
---|
transaction_id | a6f1fcea6473c958912af83005ff003deee27f2f |
---|
block_num | 58,706,149 |
---|
transaction_num | 134 |
---|
|
---|
135. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "splinterlands/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 2039ec2418d2ce6aa4077d07ceef223306bd5191efe2b623047e1b505d976d4d0333dc740dbfa8c55fbe8f6461b0dbac9a9ae4239e48946c6a338d7e3204d76929 |
---|
|
---|
transaction_id | f368fad3d94e18c5eaff31132f4e86206afe4398 |
---|
block_num | 58,706,149 |
---|
transaction_num | 135 |
---|
|
---|
136. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["bd9e9aa4d9f633c1c181c3ea28ec66fd10125bda-0"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"YhOLVCxXAh"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 202f2b3b8cb32d552145327975c3493c9e60768acabba304058c59948a032a3db30ff6373bbe2e06c272dc52201c1f143d005a5d197c0ce5fcfd6ade422d5bac3e |
---|
|
---|
transaction_id | 7282e6f3edc958349709bab661f126d8f0b65bdd |
---|
block_num | 58,706,149 |
---|
transaction_num | 136 |
---|
|
---|
137. | ref_block_num | 51,394 |
---|
ref_block_prefix | 2,083,827,795 |
---|
expiration | 2021-10-29 10:10:18 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_claim_reward |
---|
json | {"type":"quest","quest_id":"41c9663ee2fc289b8e9c7514b98cbb541b2854ad","app":"splinterlands/0.7.139","n":"mgEP3pdbts"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20533a1304c3dd41e96603f397815b54f2ca0085274faeb21a544567b28997ecda4e43567d7c4be34bc9afe7506bb6958bcf7ad578f2b6eb4901ce544c3dd40660 |
---|
|
---|
transaction_id | 334182f183e6d4f32995a1d7f86e3d77f4590979 |
---|
block_num | 58,706,149 |
---|
transaction_num | 137 |
---|
|
---|
138. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:03:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_gift_cards |
---|
json | {"to":"tung389","cards":["C3-331-H1VBW2TTDS","C3-331-KII9LXW11C"]} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 200ed6789f895cdc8c1e6fd4d640985881874de0f9f7f097789c8c5bc4c8f26edd13158d5cc3cc72dd2cf9280bb007d37f404eeeb415d9d9cf81d1ccdfbf88d048 |
---|
|
---|
transaction_id | 5b04abacfb34efff7ccc1cb7e75cb8ff0de90b2d |
---|
block_num | 58,706,149 |
---|
transaction_num | 138 |
---|
|
---|
139. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"H7AMJpb3Ov"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f3c011997a61b3e2d6568186e0c375d22cf013872f0554d583390640f9ee7eccf784b407866f0cd426092307e3dc503777e3fda7f0b8cb3f9437da0fdc7e0b7f7 |
---|
|
---|
transaction_id | a125fb5885e82979ed0c71ee5e7e2a5afeaeabbd |
---|
block_num | 58,706,149 |
---|
transaction_num | 139 |
---|
|
---|
140. | ref_block_num | 51,428 |
---|
ref_block_prefix | 3,618,117,780 |
---|
expiration | 2021-10-29 10:02:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_find_match |
---|
json | "{"match_type": "Ranked", "app": "splinterlands/0.7.139"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f545c406ce56c96cdbdba49ea1d304dab1975c6b62d419f16bdd9ba827d46d12831b8a0e32c7dfb985a3da1ee998c4cce737583b10da2fdcfb40f3e02a15c9e84 |
---|
|
---|
transaction_id | f0de6e2b987eb9b4186656939314a8a22acdafbd |
---|
block_num | 58,706,149 |
---|
transaction_num | 140 |
---|
|
---|
141. | ref_block_num | 51,413 |
---|
ref_block_prefix | 513,961,964 |
---|
expiration | 2021-10-29 10:01:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_team_reveal |
---|
json | "{"trx_id":"787f1cda82bf4c57d41d7d4ff8bec13a9048c1dd","team_hash":"8ab1b1bd32842d9048adaf47d9bc29ef","summoner":"starter-16-JF3w8","monsters": ["starter-19-ZdFSi","starter-172-WEbcR","starter-18-r3Bbm"], "app":"splinterlands/0.7.147", "secret": "QOE8T9"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f41fa9daa401d43988de34a8835926248c6653f9d727fbfc89ac06426c5a856146d471af50ec78901fe0f9d3aa49b6fe72a8ac165f893dbab6cef41d2e1c7fe1f |
---|
|
---|
transaction_id | 429788d42af02217048c44060eb1a43b76383b23 |
---|
block_num | 58,706,149 |
---|
transaction_num | 141 |
---|
|
---|
142. | ref_block_num | 51,427 |
---|
ref_block_prefix | 2,026,820,084 |
---|
expiration | 2021-10-29 10:02:27 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_submit_team |
---|
json | "{"summoner": "starter-38-Gwvlp", "monsters": ["starter-40-g2VBN", "starter-66-4PUCF", "starter-39-Jb29V"], "trx_id": "4ba022e3ad7496f49a500d0466cf803927c1228a", "app": "steemmonsters/0.7.139", "secret": "BwWM6vmCBh", "team_hash": "bc6a55365d210020d1f4b5c8bf013ca8"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 202532da918716ff0f29777b9eca489c2f6a371e2f576345199e563f9883b44ad559a8d551cfedf9c7e922b038c8bf1775134ecfb699241f69aa24d29983b7f9df |
---|
|
---|
transaction_id | d020b65b81add7570f48db01bfd53dc402bc3f07 |
---|
block_num | 58,706,149 |
---|
transaction_num | 142 |
---|
|
---|
143. | ref_block_num | 51,412 |
---|
ref_block_prefix | 1,244,597,249 |
---|
expiration | 2021-10-29 10:11:00 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"xJvyScY5iB"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20565faf0edacb92f5f7bb5b913c44f24237798fdc6d9a5dbd041a065b91fa444b52a0002d4f613d23fa025e355801bd90b3e6f9a5951878d1dfdea8ee51fd697e |
---|
|
---|
transaction_id | 829e46a42f21b5410ddd22ce988030dd29656ef1 |
---|
block_num | 58,706,149 |
---|
transaction_num | 143 |
---|
|
---|
144. | ref_block_num | 51,410 |
---|
ref_block_prefix | 1,636,333,781 |
---|
expiration | 2021-10-29 10:10:54 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_start_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.149","n":"1icnKhCPfl"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f6dc541da4b7bbd55a5dd0b4e0a54f21fa8954a711c88cc10dceba4a6f1a93fed501eb99eff9cdf892f7c3b62b7475207ac77144410f0e17b763ed9c0da9829b3 |
---|
|
---|
transaction_id | c5a1e7fcf2c33fae6b4ff4adaa98879467a2a1c5 |
---|
block_num | 58,706,149 |
---|
transaction_num | 144 |
---|
|
---|
145. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | |
---|
required_posting_auths | [] |
---|
id | sm_market_rent |
---|
json | {"items":["051db590b834ac4197c9e3b996b0df1e39ff06ec-2"],"currency":"DEC","days":1,"app":"splinterlands/0.7.139","n":"3pLreZC0LJ"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f142e3ba04ec58decf3be1a8d40e85099195148475ba11c4ec598521c6f837532466fb327df2cf10a3c35c5956b22f57031604269e31481915e9b1abf26d2cc92 |
---|
|
---|
transaction_id | 1649a39c8578aeccc1f7f66c56643152fbad6b76 |
---|
block_num | 58,706,149 |
---|
transaction_num | 145 |
---|
|
---|
146. | ref_block_num | 51,413 |
---|
ref_block_prefix | 513,961,964 |
---|
expiration | 2021-10-29 10:01:30 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_team_reveal |
---|
json | "{"trx_id":"c1b7aa03c9af4487ae28ce25c841d5f8bfd811f5","team_hash":"f1f7e1e056773d4a037c78a3bd5375da","summoner":"starter-16-3Ulzr","monsters": ["starter-174-rOcjW","starter-60-QQrnS","starter-194-Y3xbg","starter-17-D7EvJ","starter-66-aPOR7","starter-172-N7ras"], "app":"splinterlands/0.7.147", "secret": "97W7IE"}" |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 20080c6a89c97dbbcabd533cc97cd47effa795f74ecaee92f0a0fb1b19b099a76e3783423ece3ede8ac8a0c805f211cc0820cf996ea7b919c6e728434f2ad6573d |
---|
|
---|
transaction_id | 458d4977779e9f0779c6dcb93db32da83ce80076 |
---|
block_num | 58,706,149 |
---|
transaction_num | 146 |
---|
|
---|
147. | ref_block_num | 51,393 |
---|
ref_block_prefix | 941,130,197 |
---|
expiration | 2021-10-29 10:10:03 |
---|
operations | 0. | 0. | custom_json |
---|
1. | required_auths | [] |
---|
required_posting_auths | |
---|
id | sm_refresh_quest |
---|
json | {"type":"daily","app":"splinterlands/0.7.139","n":"9MFI6esCpo"} |
---|
|
---|
|
---|
|
---|
extensions | [] |
---|
signatures | 0. | 1f76b6bb16566cba8872d58c751ae34bd70a5b5db4f9ec47e55fc71432a6215cc75e07112bf23950265a10783b546e052b8366a70b8cb143987d32243735f61581 |
---|
|
---|
transaction_id | e4910d11940d0b0556f9bbe3919dd42c3e6008e0 |
---|
block_num | 58,706,149 |
---|
transaction_num | 147 |
---|
|
---|
|
---|